Question

lemen2 an ayen com 2roler in VHDL ucing a iite Stale Machme. The con rotler vacerives a cl E iinsighe The controlner has /- Lts a?puzr called high and L ha? combols hs ovens heaang elemen 2 The comfr aller chodl sAor?in an off Once stare=l, it chouk/ move into a reheat state elemens. Cin meetr set temp, at wchshould ve 2 ep warm slnt, in whrch hith-o and lors 1. om anys Con trolLey haulol mave back to the oft s2R
0 0
Add a comment Improve this question Transcribed image text
Answer #1

library ieee;

use IEEE .std_logic_1164.all;

use IEEE.numeric_std.all;

entity oven_controller is

port (

CLK : in std_logic; -- input clock

start : in std_logic;

current_temp : in unsigned(7 downto 0);

set_temp : in unsigned(7 downtown 0);

high : out std_logic ;

Low: out std_logic);

end entity;

architecture behavior of oven_controller is

signal state_0 :std_logic_vector(1 downtown 0):="00";

begin

process(CLK,state_0)

begin

if(rising_edge(CLK))then

if(state_0 = "00") then

hight<='0';

low<='0';. ------- off state

if(start='1') then

state_0<="01"; ------ preheating state

elsif(start='0') then

state_0 <="00";----- Off state

end if;

elsif(state_0="01") then

hight <='1';

low <='0';

if(start='0') then

state_0<="00"; -----Off state

end if;

if(current_tepm=set_temp) then

state_0 <="10";-------keep warm state

end if;

elsif(state_0="10")then

high <='0';

low <='1';

if(start='0') then

state_0<="00";------ off state

end if;

end if;

end if;

end process;

end behavior;-------- please see the figure for more detailsOFF sta Lo State-o んgh o harfo rf pehaid Stat-C-01 koxp warm State Current te Finite rtede movchihe

Add a comment
Know the answer?
Add Answer to:
lemen2 an ayen com 2roler in VHDL ucing a iite Stale Machme. The con rotler vacerives...
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for? Ask your own homework help question. Our experts will answer your question WITHIN MINUTES for Free.
Similar Homework Help Questions
ADVERTISEMENT
Free Homework Help App
Download From Google Play
Scan Your Homework
to Get Instant Free Answers
Need Online Homework Help?
Ask a Question
Get Answers For Free
Most questions answered within 3 hours.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT