Problem

(Design Process) Design a Mealy finite state machine with input X and output Z. The output...

(Design Process) Design a Mealy finite state machine with input X and output Z. The output Z should be asserted for one clock cycle whenever the sequence … 0111 or … 1000 has been input on X. The patterns may overlap. For example, X = … 0000111000 … should generate the output stream Y = … 0000001001 ….

(a) Complete the state diagram for the sequence detector, without concern for state minimization.


(b) Complete the state table for the state diagram derived in part (a).


(c) Use row matching or implication charts to minimize the state table derived in part (b).


(d) Use the state assignment guidelines to obtain a good state assignment for the reduced state machine of part (c). Justify your method in terms of the high-, medium-, and low-priority assignment guidelines.


(e) Implement your encoded, reduced state table and show all the minimized logic equations for the next state and outputs.


(f) Describe your finite state machine in a Verilog description.

Step-by-Step Solution

Request Professional Solution

Request Solution!

We need at least 10 more requests to produce the solution.

0 / 10 have requested this problem solution

The more requests, the faster the answer.

Request! (Login Required)


All students who have requested the solution will be notified once they are available.
Add your Solution
Textbook Solutions and Answers Search
Solutions For Problems in Chapter 8