Question

Write VHDL code for:Part Ta: To Create a Clock Pre-Scaling Entity that Generates a Slow Clock Pulse Train from a Fast Clock Pulse Train: Obiectiv

0 0
Add a comment Improve this question Transcribed image text
Answer #1

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity PreScale is
   port (   Clkin   : in std_logic;
       Clkout   : out std_logic
   );
end PreScale;

architecture arch of PreScale is

signal count : std_logic_vector (19 downto 0):= X"00000";

begin

   process (Clkin)
   begin
       if rising_edge (Clkin) then
           count <= count + 1;
       end if;
   Clkout   <= count (19);
   end process;

end arch;

-------------------------------------------------------------------------------------------------------------------------------

Wave Tools Bookmarks File Edit View Add Format Window Help Wave -Default 4-4-4 -4 Search: Menr prescale/Cln prescale/Ckou pre

Add a comment
Know the answer?
Add Answer to:
Write VHDL code for: Part Ta: To Create a Clock Pre-Scaling Entity that Generates a Slow...
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for? Ask your own homework help question. Our experts will answer your question WITHIN MINUTES for Free.
Similar Homework Help Questions
  • Please Write it in VHDL and complete the following code Create an entity called "regs" where...

    Please Write it in VHDL and complete the following code Create an entity called "regs" where you infer a true dual port (both ports can independently either read or write to any location) memory consisting of 32 16-bit words (64 Bytes). It should have the following black box interface and behavior: entity regs is port clk, en, rst in std_logic; İdl, İd2 : in std logic vector (4 downto 0); __ Addresses wr_enl, wr_ en2 in std logic dinl, din2...

ADVERTISEMENT
Free Homework Help App
Download From Google Play
Scan Your Homework
to Get Instant Free Answers
Need Online Homework Help?
Ask a Question
Get Answers For Free
Most questions answered within 3 hours.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT