Question

COMPUTER ARCHITECTURE QUESTION

1. Calculate 2*14 using the optimized algorithm for integer multiplication learned in the class. Write the solution in a tabular form as shown in the course slides. Here we assume both integers are in 4 bits.

THIS IS THE TABULAR FORM EXAMPLE SHOWN IN COURSE SLIDE:

Example multiplicand register next action (MD) product register (PR) 0000 0101 1001 LSB of PR is 1, so PR[7:4]=PR[7:4]+MD 100

0 0
Add a comment Improve this question Transcribed image text
Answer #1

Given,

calculate 2*14 using optimized algorithm for integer multiplication using the Tabular Form.

2 * 14 = 28.

Find the images for detailed solution:

Given, calculate 1214 ning optinucised algorithm for multiplicationierung the Tabular form . OOO 2 X 14:28 x 110 9 - 0040 14Tooo11100 Pris 28, done

Final step MD = 0010

PR = 00011100

Next Step = PR is 28, done

Add a comment
Know the answer?
Add Answer to:
COMPUTER ARCHITECTURE QUESTION 1. Calculate 2*14 using the optimized algorithm for integer multiplication learned in the...
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for? Ask your own homework help question. Our experts will answer your question WITHIN MINUTES for Free.
Similar Homework Help Questions
  • We have learned a famous shift cipher called Caesar Cipher. Now if we are given a...

    We have learned a famous shift cipher called Caesar Cipher. Now if we are given a plain test: THE ART OF WARAnd key = 3 (a shift by 3 letters), please give the ciphertext Given an 8 bit block P = 10101111 and a key K = 01101011, please give the result of bitwise XOR between P and K Please give the left 2 shift of the 8 bit text 01100101 Use the given a permutation table 23614857 to define...

  • Arduino. DEC HEX BIN(4-bits) Introducing ARDUINO 0 0 0000 1 1 0001 2 2 0010 3...

    Arduino. DEC HEX BIN(4-bits) Introducing ARDUINO 0 0 0000 1 1 0001 2 2 0010 3 3 0011 4 4 0100 5 5 0101 How many 1/0 of Port-D? How many usable 1/0 of Port-D, if Serial-Communication is in-used? What is the Arduino's pin assignment of ATMEL's PC5, PB3, & PD1*? What is the ATMEL's pin assignment of Arduino's D13*, D1, & D19? To complete the table about Number System Conversion (shown your step) 6 6 0110 7 7 0111...

  • E-Cube algorithm: 1. for source A and destination B, result = A XOR B 2. for...

    E-Cube algorithm: 1. for source A and destination B, result = A XOR B 2. for each non-zero bit in the result starting from the least significant (right most) travel along that dimension Using the above algorithm give the sequence of hops, node by node, for the following source and destinations on this hypercube: 1.0000→1111 2.1111→0000 3. 0101→1010 4. 1100→1000 5. 0001→1000 6. 0010→1111 AQ3 ю. AQ r000 1001 0010 101p.. 001 1011 1100, 1101 0110 Ц10

  • Please show work! 2. Now, give it a try by converting the binary number 01110110 to...

    Please show work! 2. Now, give it a try by converting the binary number 01110110 to decimal by filling in the same table in step 1 r of 2 Pov 128 64 32 16 Cumulative Amount 4. Now, you give it a try by converting the decimal number 131 to binary by filling in the table Power of 2 128 32 16 Bit Amount Remaining 6. Use the binary to hexadecimal table to convert the binary number 01101111 to hexadecimal...

  • HW3: Problem 1: (first, study the example-1 in page-6) A computer uses 8-bit for FLP (1...

    HW3: Problem 1: (first, study the example-1 in page-6) A computer uses 8-bit for FLP (1 bit for sign, 4 bit for exponent with excess-7 rep. (see table below), rest for magnitude). Assume 0000 and 1111 in exponent field are reserved for denormalization. 6 Decimal 0 Unsigned 0000 Excess-7 Reserved used as -6 in unnormalized 1 0001 -6 2 0010 -5 3 0011 -4 4 0100 -3 5 0101 -2 0110 -1 7 0111 0 9 Decimal 8 Unsigned 1000...

  • 1 Simulations to verify a 4-bit Register Simulate and verify a 4-bit Register using behavioral VHDL...

    1 Simulations to verify a 4-bit Register Simulate and verify a 4-bit Register using behavioral VHDL code in ModelSim. Recall that sequential circuits depend on both present and past state. Sequential circuits are in contrast to combinational circuits, which depend on input values from only the present state. Fur- thermore, recall that a flip-flop is a fundamental circuit used to create more complex sequential circuits. A register is an array of storage components, such as flip-flops. For example, a 4-bit...

  • the w 2. This problem explores the use of a one-time pad version of t In...

    the w 2. This problem explores the use of a one-time pad version of t In this scheme, the key is a stream of random numbers between 0 and example, if the key is 3 19 5..., then the first letter of plaintext is encrypted with a shift of 3 letters, the second with a shift of 19 letters, the third with a shift of 5 letters, and so on. a. Encrypt the plaintext sendmoremoney with the key stream 9...

  • question 1 part 2 and 3 thank you (47) Naruto Notone C Sign In er Sign...

    question 1 part 2 and 3 thank you (47) Naruto Notone C Sign In er Sign Up | Ch ® UFC & MMA × Secure I https://piazza-resourcess3.amazonaws.com/jgopch0cb93d8/j .pdfAWSAccessKeyld-AKAILDNRL/4ALKBWOHA8lexpires-15200435/2&Signature-ol9aXG9 /UAKIHS0QUwMeyBX.. ☆ ミ quations must be properly tyne-set including superscript-s expunents, Always watch the course websile for updates on the assignments. Question 1 (4 points) Show you work I. Convert 2727 into a 32-bit two's complement binary number 2. Convert -5795 into a 16-bit two's complement binary number 3. Add the above...

  • Instruction set architecture R: register X, Y, Opl, Op2: Operand Quantity: constant value EA: Effective memory address Opcode Operation Name MOV X, Y XCH Opl, Op2 ADD X, Y SUB X,Y SAL Op, Quantity...

    Instruction set architecture R: register X, Y, Opl, Op2: Operand Quantity: constant value EA: Effective memory address Opcode Operation Name MOV X, Y XCH Opl, Op2 ADD X, Y SUB X,Y SAL Op, Quantity SAR Op, Quantity Shift Arithmetic Right on Op for Quantity SHR Op Quanti AND X, Y OR X, Y XOR X, Y NOT X LOADR, A LOAD R, (A STORE R, A STORE R, (A Description Move data from Y to X Exchange Op1 with Op2...

  • I'm working on a java program where I'm supposed to convert 4 bit binary into decimal....

    I'm working on a java program where I'm supposed to convert 4 bit binary into decimal. I went with if statements and tried to use an else to print out an error message if the user enters a number that isn't a binary number but it prints no matter what. (so, it prints that it's a vowel and that it's not a vowel) 1 import java.util.Scanner; 2 3 public class HomeworkTwoQ2 4 { 5 6 public static void main(String[] args)...

ADVERTISEMENT
Free Homework Help App
Download From Google Play
Scan Your Homework
to Get Instant Free Answers
Need Online Homework Help?
Ask a Question
Get Answers For Free
Most questions answered within 3 hours.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT