Question

Arduino.

DEC HEX BIN(4-bits) Introducing ARDUINO 0 0 0000 1 1 0001 2 2 0010 3 3 0011 4 4 0100 5 5 0101 How many 1/0 of Port-D? How man

1 0
Add a comment Improve this question Transcribed image text
Answer #1

Question - 1:

Port-D controls the arduino I/O of digital pins '0' to '7'.

Thus there are 8 I/O of port-D.

Question - 2:

Port-D controls the arduino I/O of digital pins '0' to '7', but among these digital pin '0' and pin '1' are used for serial communication and debugging. So these pins cannot be used if serial-communication is in use.

Thus only 5 usable I/O of port-D (digital pin-'2' to pin-'7') if serial-communiation is in use.

Questions - 3 and 4:

To answer the next two questions, refer to the below figure showing assignment of corresponding pins of Arduino and ATmega2560. In below figure, red colored text refer to arduino pins and black colored text refer to ATmel pins.

Analog Reference Analog pino Analog pin 1 Analog pin 2 Analog pin 3 Analog pin 4 Analog pin 5 Analog pin 6 VCC GND Analog pin

Arduino pin assignment of ATMEL's PC5, PB3 and PD1 are:

  • ATMEL PC5 is assigned to D32 (Digital pin 32 of Arduino)
  • ATMEL PB3 is assigned to D50 (Digital pin 50 of Arduino)
  • ATMEL PD1 is assigned to D20 (Digital pin 20 of Arduino)

ATMEL's pin assignment of Ardino's D13, D1 and D19 are:

  • D13 (Digital pin 13 of Arduino) is assigned to ATMEL's PB7.
  • D1 (Digital pin 1 of Arduino) is assigned to ATMEL's PE1.
  • D19 (Digital pin 19 of Arduino) is assigned to ATMEL's PD2.

Question - 5:

Completing the table

► DEC = 165 To HEX: 16/1655 In HEX: 5=5 - 10 10 = A 16 | 10 So 165 0xA5 in HEX 165 in DEC = Ox A5 in HEX To Binary A= 10 in D

23 in HEX: To DEC (2x16) + (3x160) - 32+ 3 = 35 SO 23 in HEX = 35 in DEC То binary HEX = 2 in 3 in HEX 0010 in binary ooll i

- 0100 olol in binary TO HEX! 0100 in binary 4 in HEX Olot in binary 5 in HEX so 0100 0101 in binary = 45 in HEX To DEC: 45 i

Add a comment
Know the answer?
Add Answer to:
Arduino. DEC HEX BIN(4-bits) Introducing ARDUINO 0 0 0000 1 1 0001 2 2 0010 3...
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for? Ask your own homework help question. Our experts will answer your question WITHIN MINUTES for Free.
Similar Homework Help Questions
  • Base no bit 0 0 Q-2 (25p): Assume that logical addresses are 16-bit long: 3 bits...

    Base no bit 0 0 Q-2 (25p): Assume that logical addresses are 16-bit long: 3 bits for segment no and 13 bits for offset. Segment table of the currently running process is given below: a) (5) How many segments can this Segment Present Modify Length process have at maximum? bit 0 00100 0111 0000 0100 0000 1000 0100 b) (15) Convert the following logical 10100 0100 0001 0010 0010 1100 1000 address to physical (real) address. 2 01100 0100 0000...

  • DO bit 0 1 Q-2 (25p): Assume that logical addresses are 16-bit long: 3 bits for...

    DO bit 0 1 Q-2 (25p): Assume that logical addresses are 16-bit long: 3 bits for segment no and 13 bits for offset. Segment table of the currently running process is given below: a) (5) How many segments can this Segment Present Modify process have at maximum? Length bit Base 0 0 0 0100 0111 0000 0100 0000 1000 0100 b) (15) Convert the following logical 1 1 0100 0100 0001 0010 0010 1100 1000 address to physical (real) address...

  • We have learned a famous shift cipher called Caesar Cipher. Now if we are given a...

    We have learned a famous shift cipher called Caesar Cipher. Now if we are given a plain test: THE ART OF WARAnd key = 3 (a shift by 3 letters), please give the ciphertext Given an 8 bit block P = 10101111 and a key K = 01101011, please give the result of bitwise XOR between P and K Please give the left 2 shift of the 8 bit text 01100101 Use the given a permutation table 23614857 to define...

  • 1 Simulations to verify a 4-bit Register Simulate and verify a 4-bit Register using behavioral VHDL...

    1 Simulations to verify a 4-bit Register Simulate and verify a 4-bit Register using behavioral VHDL code in ModelSim. Recall that sequential circuits depend on both present and past state. Sequential circuits are in contrast to combinational circuits, which depend on input values from only the present state. Fur- thermore, recall that a flip-flop is a fundamental circuit used to create more complex sequential circuits. A register is an array of storage components, such as flip-flops. For example, a 4-bit...

  • Please show work! 2. Now, give it a try by converting the binary number 01110110 to...

    Please show work! 2. Now, give it a try by converting the binary number 01110110 to decimal by filling in the same table in step 1 r of 2 Pov 128 64 32 16 Cumulative Amount 4. Now, you give it a try by converting the decimal number 131 to binary by filling in the table Power of 2 128 32 16 Bit Amount Remaining 6. Use the binary to hexadecimal table to convert the binary number 01101111 to hexadecimal...

  • Objective: In this lab, we will learn how we can design sequential circuits using behavioral mode...

    Just need the code for the random counter,Thanks Objective: In this lab, we will learn how we can design sequential circuits using behavioral modelling, and implementing the design in FPGA. Problem: Design a random counter with the following counting sequence: Counting Sequence: 04 2 9 168573 Design Description: The counter has one clock (Clock), one reset (Reset), and one move left or right control signal (L/R) as input. The counter also has one 4bit output O and one 2bit output...

  • Evaluate the following problems. a) 1. Evaluate den(Y,0,16) 2. Evaluate hex(Y[0],2) 3. Evaluate HEX(*(Y+4),2) 4. Evaluate...

    Evaluate the following problems. a) 1. Evaluate den(Y,0,16) 2. Evaluate hex(Y[0],2) 3. Evaluate HEX(*(Y+4),2) 4. Evaluate oct(*(Y+4),3) 5. Convert Y into 13-bit binary 6. Evaluate den(Y[4],0,2) 7. What is the octal representation (3 digit) of denary 256? 8) What is the Hex ...... (3 Hex digit) of denary 256? 1530:Y 11111101 1531 01010101 1532 10000 1111 1533 1000 0000 1534 11111111 1535 10001 1101 1536 0010 1010 1537 1000 0011

  • 3. (2 10 20 points) Design a decade counter using a 2-4-2-1 weighted code for decimal...

    3. (2 10 20 points) Design a decade counter using a 2-4-2-1 weighted code for decimal digits (see table below) using the following flip-flop types: a. D Flip-flops S-R Flip-flops b. Digit ABCD 0000 1 0001 2 0010 3 0011 4 0100 1011 6 1100 7 1101 8 1110 1111 4. (6 points) Redraw the circuit from problem 3.a. using NAND gates only. 3. (2 10 20 points) Design a decade counter using a 2-4-2-1 weighted code for decimal digits...

  • HW3: Problem 1: (first, study the example-1 in page-6) A computer uses 8-bit for FLP (1...

    HW3: Problem 1: (first, study the example-1 in page-6) A computer uses 8-bit for FLP (1 bit for sign, 4 bit for exponent with excess-7 rep. (see table below), rest for magnitude). Assume 0000 and 1111 in exponent field are reserved for denormalization. 6 Decimal 0 Unsigned 0000 Excess-7 Reserved used as -6 in unnormalized 1 0001 -6 2 0010 -5 3 0011 -4 4 0100 -3 5 0101 -2 0110 -1 7 0111 0 9 Decimal 8 Unsigned 1000...

  • (2+2+1 5 points) Problem 10.1: simple cpu machine code = The following program has been written...

    (2+2+1 5 points) Problem 10.1: simple cpu machine code = The following program has been written for the simple central processing unit introduced in class. The table below shows the initial content of the 16 memory cells. The first column denotes the memory address. Machine Code Assembly Code Description 001 1 0001 0 010 0 1111 1 001 1 0000 3 101 1 0100 110 1 0110 4 111 1 0000 5 6 001 0 001 1 100 1 0001...

ADVERTISEMENT
Free Homework Help App
Download From Google Play
Scan Your Homework
to Get Instant Free Answers
Need Online Homework Help?
Ask a Question
Get Answers For Free
Most questions answered within 3 hours.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT