Answer:
Barrel-shift method. 2) (20 points): What is the hardware obtained if the following code is synthesi...
a Read the following codes in Verilog and the corresponding testbench file. Describe what the codes are doing by adding comments in the code. Then write down the simulation results of res1, res2, res3, and res4, respectively. Source code module vector_defn (num1, res1, res2, res3, res4); input [7:0] num1; output res1; output [3:0] res2; output [0:7] res3; output [15:0] res4; assign res1=num1[2]; assign res2=num1[7:4]; assign res3=num1; assign res4={2{num1}}; endmodule testbench: `timescale 1ns / 1ps module vector_defn_tb; reg [7:0] in1; wire...
3. (10 Points) RTL Combinational Circuit Design a Draw the schematic for the Verilog code given below: module abc (a, b, c, d, si, s0); input 31, 30; output a, b, c,d; not (51_, 51), (50_, 0); and (a, s1_, SO_); and (b, s1_, 0); and (c, sl, s0_); and (d, sl, s0); endmodule b. Draw the schematic for the Verilog code given below: module Always_Code input a, b, c, output reg F ); always @(a, b, c) begin F...
7. Which of the following Verilog code segments will generate errors when compiled? A. module demo output reg F, input a): ire b; reg c assign b-c; initial begin end endmodule module demo5 output reg F, input reg a); ire b reg c assign bC; initial begin end C. module demooutput reg F, input wire a ire b reg c assign b c; initial begin F c& b; end D. O both A) and C) E. O none will generate...
5.28 The Verilog code in Figure P5.9 represents a 3-bit linear-feedback shift register (LFSR) This type of circuit generates a counting sequence of pseudo-random numbers that repeats after 2" - 1 clock cycles, where n is the number of flip-flops in the LFSR. Synthesize a circuit to implement the LFSR in a chip. Draw a diagram of the circuit. Simulate the circuit's behavior by loading the pattern 001 into the LFSR and then enabling the register to count. What is...
I need the following in verilog. Attached is also the test bench. CODE // Design a circuit that divides a 4-bit signed binary number (in) // by 3 to produce a 3-bit signed binary number (out). Note that // integer division rounds toward zero for both positive and negative // numbers (e.g., -5/3 is -1). module sdiv3(out, in); output [2:0] out; input [3:0] in; endmodule // sdiv3 TEST BENCH module test; // these are inputs to "circuit under test" reg...
2. [20 points] A circuit with 4 inputs has to realize the following 3 functions z, w)-n (0, 1,3,4,9, 11) g (x, y,z, w)-2 (5, 8,9, 10, 11, 12, 13, 14, 15) In what follows the cost a circuit is defined as: Number of gates used + mumber of inputs to these es but not counting NOTs. So, assume that input variables are available in both complemented and un-complemented forms. (a) [10 points] Find simple SOP expressions using K-maps for...
·20) |19) 118) 117) 116) 115) Question 1.(20 points, I point each. Put answers into the above table) 13)一114) 2)- ) S-bit signed binary data can represent the decimal values from 0 to 256 2) 10111 is the two's complement representation of b. False a. True a. -23 b.-9 c.-7 d. +22 e.+7 3) 01110 is the two's complement representation of a.-13 b.-15 c.-9 d.+14 e.+18 a.A. b, B, c.A+B d, B c, (AB). a. Trueb. False a. True a....
5) Decoders: Given the following circuit, S0 and S1 are computed using a 4-2 priority encoder with the priorities indicated on the figure. (hint: IDLE signal is always 0, if any of the inputs 10,11,12, or 13 is 1) 6 points) 4-to-2 Priority Encoder 10 YO YI 13 IDLE 13> 11 > 12>10 12 Full c Adder So Fill the following table showing the output signals S0 and SI given the input signals w, x, y, a) and z. Prof...
Final Assignment: Impulse Response (20 POINTS) Using the Laplace method of analysis introduced in this lesson, determine the impulse response h() for the pendulum example (see LESSON 22). Let m = 1 kg, / 1 m, g= 9.81 m/s2, and T 10 N.m. 0 1 +Im/2 T m 0 g + [0]T NS 194 .. Final Assignment: Cam Displacement Control Follower F F Spring k C Roller x, X, X m m TITT Cam x, x x, X, x F...
/***************************************************
Name:
Date:
Homework #7
Program name: HexUtilitySOLUTION
Program description: Accepts hexadecimal numbers as input.
Valid input examples: F00D, 000a, 1010, FFFF, Goodbye, BYE
Enter BYE (case insensitive) to exit the program.
****************************************************/
import java.util.Scanner;
public class HexUtilitySOLUTION {
public static void main(String[] args) {
// Maximum length of input string
final byte INPUT_LENGTH = 4;
String userInput = ""; // Initialize to null string
Scanner input = new Scanner(System.in);
// Process the inputs until BYE is entered
do {...