//Verilog Code for 8-bit wide 8x1 multiplexer
module Vrabcdemux #(parameter WIDTH = 8) (
input [WIDTH-1:0] A,B,C,D,E, //Input Ports Declaration
input [2:0]S, //Select Line input port Declaration
output reg [WIDTH-1:0] T //Output Port Declaration
);
//Combo Logic for Multiplexer
//Based on select line 'S', mux inputs will be selected for
output
always@(*) //For Combo use '*' in always block
begin
case(S)
3'b000 : T = A;
3'b001 : T = B;
3'b010 : T = B;
3'b011 : T = C;
3'b100 : T = C;
3'b101 : T = D;
3'b110 : T = E;
3'b111 : T = A;
endcase
end
endmodule
//Testbench for mux
module test;
reg [7:0]A,B,C,D,E;
reg [2:0]S;
wire [7:0]T;
//Mux module instantiation
Vrabcdemux #(.WIDTH(8)) mux_instance (
.A(A),.B(B),.C(C),.D(D),.E(E),.S(S),.T(T)
);
//Driving Stimulus
initial begin
A = 10;
B = 20;
C = 30;
D = 40;
E = 50;
S = 0;
#10 S = 1;
#10 S = 2;
#10 S = 3;
#10 S = 4;
#10 S = 5;
#10 S = 6;
#10 S = 7;
#10 S = 8;
#10 $finish;
end
endmodule
//Waveform Simulation
//Synthesis RTL viewer
2atubhs, and explain the cause of oe y LUTs are reqllited l the difference, if any. .37 hekrncecd...
6.47 using verilog IIOnTg EXcitise 0., synthesize your Vrmux4in18b cc module as well as 6.46 Cohtmu the original in Program 6-17, targeting your favorite FPGA. Determine how many LUTs are required in each of the two realizations, and explain the cause of the difference, if any rite a Verilog module Vrabcdemux for a customized multiplexer with five 8-bit nput buses A, B, C, D, and E, selecting one of the buses to drive a 8-bit output bus T according to...