Question

SRAP pr- Vivado 2017. Eile Edit Flow Iools Window Layout Yew HelpQuick Acces Ready VO Planning Flow Navigator V PROJECT MANAG2. Complete this VHDL to implement fig. 1(b) where L-0 means shifting like SR4 and L-1 means parallel load input vector R

SRAP pr- Vivado 2017. Eile Edit Flow Iools Window Layout Yew HelpQuick Acces Ready VO Planning Flow Navigator V PROJECT MANAGER Cell Properties x Clock Regions ?-OC Package x Device xsrapvhd × Schematic X O Setings Language Templates IP Catalog IPINTEGRATOR Open Block Design Qngl3이 OutVed3이 Generate Block Design SIMULATION R3.0 Run Simulation RTL ANALYSIS n Elaborated Design 白Report Methodology Report DRC Report Noise Schematic Td Console Messages Lg Reports Design Runs Package Pins VO Ports Type here to search 3/13/2018

2. Complete this VHDL to implement fig. 1(b) where L-0 means "shifting like SR4" and L-1 means "parallel load input vector R into 4-bit regsiter Q on positive-edge of clock." entity SR4P library ieee use ieee.std_logic 1164.all; entity SR4P is port InBit,Clock,L: in std_logic; R: in std_logic_vector (3 downto 0); OutVec: out std_logic_vector (3 downto 0)); end SR4P; architecture behavorial of SR4P is signal Q: std-logic-vector (3 downto 0) begin PROCESS (Clock) :="0000".-signal Q is the 4-bit register begin if rising_edge(Clock) then if L-'1' then else Q(2)
1 0
Add a comment Improve this question Transcribed image text
Answer #1

library ieee;
use ieee.std_logic_1164.all;

entity SR4P is
   port (   InBit, Clock, L   : in std_logic;
       R       : in std_logic_vector(3 downto 0);
       OutVec       : out std_logic_vector(3 downto 0)
   );
end SR4P;

architecture behavorial of SR4P is

signal Q : std_logic_vector(3 downto 0) := "0000";

begin

process (Clock)

begin

   if rising_edge(Clock) then

       if L = '1' then

           Q <= R;

       else

           Q(3) <= InBit;
           Q(2) <= Q(3);
           Q(1) <= Q(2);
           Q(0) <= Q(1);

       end if;

   end if;

end process;

OutVec <= Q;

end behavorial;

--------------------------------------------------------------------------------------------------------------------------------------------------

Wave File Edit View Add Format Tools Bookmarks Window Help Wave -Default a x 。.he 9 t←吟ㄧ oop.劃ELE Sk 犭 C 맬 tat t ,票44. 43-4

Add a comment
Know the answer?
Add Answer to:
SRAP pr- Vivado 2017. Eile Edit Flow Iools Window Layout Yew HelpQuick Acces Ready VO Planning Fl...
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for? Ask your own homework help question. Our experts will answer your question WITHIN MINUTES for Free.
Similar Homework Help Questions
  • Some questions may require well bulum 1. HDL stands for? a. Hardware Design Language b. Hardware...

    Some questions may require well bulum 1. HDL stands for? a. Hardware Design Language b. Hardware Development Language c. Hardware Description language d. Hot Dry Land 2. What is the basic building unit of a VHDL design? a. Blocks b. Cubes c . Dices d. Bricks 3. What reserved word do all VHDL entities end with? a. entity b. use c. port d. end d. IEEE 4. Which block describes a design's interface? a. entity b. architecture c. library 5....

ADVERTISEMENT
Free Homework Help App
Download From Google Play
Scan Your Homework
to Get Instant Free Answers
Need Online Homework Help?
Ask a Question
Get Answers For Free
Most questions answered within 3 hours.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT