Question

ECE 275 - DIGITAL DESIGN Question 1: (@) Write VHDL code for a 2-to-1 multiplexer. - (6) Implement - using only the Sunction
0 0
Add a comment Improve this question Transcribed image text
Answer #1

(a)

library ieee;
use ieee.std_logic_1164.all;

entity multiplexer2to1 is
   port (   X, Y   : in std_logic;
       SEL   : in std_logic;
       F   : out std_logic
   );
end multiplexer2to1;

architecture arch of multiplexer2to1 is

begin

   F <= (X and (not SEL)) or (Y and SEL);

end arch;

(b)

R=abh + bch tegh+figh 0 ab+be 12 :1 MUX zil MUX SEL 70 2:1 ΜυX egt89

--VHDL Code using component instantiation

library ieee;
use ieee.std_logic_1164.all;

entity functionR is
   port (   a, b, c, e, f, g, h    : in std_logic;
       R           : out std_logic
   );
end functionR;

architecture arch of functionR is

component multiplexer2to1 is
   port (   X, Y   : in std_logic;
       SEL   : in std_logic;
       F   : out std_logic
   );
end component;

signal S1, S2 : std_logic;

begin

UUT0 : multiplexer2to1 port map (a, c, b, S1);
UUT1 : multiplexer2to1 port map (e, f, g, S2);
UUT2 : multiplexer2to1 port map (S1, S2, h, R);

end arch;

Add a comment
Know the answer?
Add Answer to:
ECE 275 - DIGITAL DESIGN Question 1: (@) Write VHDL code for a 2-to-1 multiplexer. -...
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for? Ask your own homework help question. Our experts will answer your question WITHIN MINUTES for Free.
Similar Homework Help Questions
  • Implement the function R = ab'h' + bch' + eg'h + fgh using *only* 2-to-1 multiplexers....

    Implement the function R = ab'h' + bch' + eg'h + fgh using *only* 2-to-1 multiplexers. Use the 2-to-1 multiplexer VHDL description from Problem 1 as a component to write VHDL code for the circuit design of function R. Perform CAD simulation of your design. (60)

  • I just need help on this, I also cannot find the correct 8x1 multiplexer in Quartus...

    I just need help on this, I also cannot find the correct 8x1 multiplexer in Quartus Digital Systems Laboratory ECE-215L Lab 5 - In-Lab PURPOSE: To design and implement circuits utilizing digital multiplexers. PRE-LAB READINGS AND EXERCISES: Prior to the lab period, perform the following readings and exercises. Reading: Review information (on combinational circuits, specifically different types of decoders/encoders and multiplexers) in the ECE 215 textbook. Exercises: a. Using the Quartus schematic capture, implement a 16-to-1 multiplexer using two IC-741515...

  • Digital logic design Question 2 [4+6=10Marks] I. Implement following function using 16 x 1 multiplexer? F(A,B,C,D)...

    Digital logic design Question 2 [4+6=10Marks] I. Implement following function using 16 x 1 multiplexer? F(A,B,C,D) = I l.ec.(D1, D2, D3, D4,10,11,13,15) II. Implement function F given above using 8 x 1 multiplexer?

  • subject: (digital circuit: Sequential LOGIC CIRCUIT.) Question: 1. Write the program code in VHDL to create...

    subject: (digital circuit: Sequential LOGIC CIRCUIT.) Question: 1. Write the program code in VHDL to create a simple OR application with 3 input , complete with its library, entity and architecture! 2. Explain the working principle of PAL and GAL! Search the IC GAL22V10D datasheet! and also Draw and explain the function of the legs of IC GAL22V10D!

  • (20 pts)VHDL. Implement the logic circuit specified in the following truth table by using a 4:1 m...

    (20 pts)VHDL. Implement the logic circuit specified in the following truth table by using a 4:1 mulitiplexer ome regular logic gates. 11 Draw a schematic of your implementation. 2) Suppose that you are given the following VHDL code of a 4:1 multiplexer. Please write a VHDL code to describe your implementation by using structure modeling technique, by using the following 4:1 multiplexer asia your answer component in your structure modeling. Note that you do not need to re-write the following...

  • 4. Design a 4-bit Adder / Subtractor. Follow the steps given below. (a) Write the VHDL...

    4. Design a 4-bit Adder / Subtractor. Follow the steps given below. (a) Write the VHDL code for a 1-bit Full Adder. The VHDL code must include an entity and an architecture. (b) Draw the circuit diagram for a 4-bit Adder / Subtractor. The circuit diagram may include the following logic elements: 1-bit Full Adders (shown as a block with inputs and outputs) Any 2-input logic gates Multiplexers Do not draw the logic circuit for the 1-bit Full Adder.

  • VIVA QUESTIONS: 1. Implement the following function using VHDL coding. (Try to minimize if you can)....

    VIVA QUESTIONS: 1. Implement the following function using VHDL coding. (Try to minimize if you can). F(A,B,C,D)=(A'+B+C). (A+B'+D'). (B+C'+D') . (A+B+C+D) 2. What will be the no. of rows in the truth table of N variables? 3. What are the advantages of VHDL? 4. Design Ex-OR gate using behavioral model? 5. Implement the following function using VHDL code f=AB+CD. 6. What are the differences between half adder and full adder? 7. What are the advantages of minimizing the logical expressions?...

  • Please help write VHDL code for these two circuit below First what is this mean VLSI...

    Please help write VHDL code for these two circuit below First what is this mean VLSI related software is reuired this is your comment am asking a simple question write code similar to this question from your website and here is the link similar circuit https://www.chegg.com/homework-help/questions-and-answers/write-vhdl-code-two-sequential-logic-write-vhdl-code-implement-fsm-described-state-graph---q9819429 7. Write VHDL code to implement the FSM described in the state graph below. 0/0 0/0 1/0 0/0 1/0 ifo 1/0

  • 3-8 decoder vhdl

    Design and implement a circuitry using 3-to-8 decoder and additional gates that has the following functionality: The output of the circuit is 1 when the input 3-bit number is less than 3 or greater than 4. Write a separate 3-to-8 decoder as a component, then use the component as a structural approach for your main code that completes the implementation of the circuit. Provide appropriate testbench timing simulations to make sure all conditions are presented in the simulations. Make sure...

  • ECE 1552- Summer 2019 Homework 2: Solve all questions. HW is to be turned in as a PDF or word document on canvas. Show...

    ECE 1552- Summer 2019 Homework 2: Solve all questions. HW is to be turned in as a PDF or word document on canvas. Show all working. Answers provided should be typed or written CLEARLY 1: Find a function to detect an error in the representation of a decimal digit in BCD. In other words, write an equation with value 1 when the inputs are any one of the six unused bit combinations in the BCD code, and value 0 otherwise...

ADVERTISEMENT
Free Homework Help App
Download From Google Play
Scan Your Homework
to Get Instant Free Answers
Need Online Homework Help?
Ask a Question
Get Answers For Free
Most questions answered within 3 hours.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT