Question

2. (a) Name and give a short description of the four design styles for describing a logic function in an architecture? (b) Li
0 0
Add a comment Improve this question Transcribed image text
Answer #1

(a)

Four design styles are:

  1. Data Flow    : The flow of data through input to output is expressed using concurrent statements
  2. Behavioral   : The behavior of input to output is expressed using sequential statements. It’s a highest level of abstraction.
  3. Structural    : An entity is described as a set of interconnected components. Component instances are concurrent in behavior.
  4. Hybrid          : A hybrid description includes all above styles i.e. data flow, behavioral and structural.

(b)

  1. Block statement
  2. process statement
  3. concurrent signal assignment statement

(c)

Architecture 1 : It’s a data flow design style. Here D_out is the output port related to D0, D1 and D2.

Architecture 2 : It’s a behavioral description styles. Here there is absence of description regarding implementation.

3 (a) It is called sensitivity list of process. Process body is sensitive to these list of signal. Any event occurring on these signal will cause execution of sequential statements in process body.

(c) Sequential statements are used in process body

(d)

(i)

architecture structural_arch of XOR3 is

component XOR2 is

               port (     X, Y        : in std_logic;

                              Z             : out std_logic

               );

end XOR2;

signal xor1_out, xor2_out, xor3_out: std_logic;

begin

uut0: XOR2 port map (A, B, xor1_out);

uut1: XOR2 port map (xor1_out, C, xor2_out);

uut2: XOR2 port map (xor2_out, D, xor3_out);

end arch;

(ii)

architecture behavioral_arch of XOR3 is

begin

process (A, B, C, D)

begin

               if (A = ‘0’ and B=’0’ and C=’0’ and D=’0’) then xor3_out <= ‘0’;

               elsif (A = ‘0’ and B=’0’ and C=’0’ and D=’1’) then xor3_out <= ‘1’;

               elsif (A = ‘0’ and B=’0’ and C=’1’ and D=’0’) then xor3_out <= ‘1’;

               elsif (A = ‘0’ and B=’0’ and C=’1’ and D=’1’) then xor3_out <= ‘0’;

               elsif (A = ‘0’ and B=’1’ and C=’0’ and D=’0’) then xor3_out <= ‘1’;

               elsif (A = ‘0’ and B=’1’ and C=’0’ and D=’1’) then xor3_out <= ‘0’;

               elsif (A = ‘0’ and B=’1’ and C=’1’ and D=’0’) then xor3_out <= ‘0’;

               elsif (A = ‘0’ and B=’1’ and C=’1’ and D=’1’) then xor3_out <= ‘1’;

               elsif (A = ‘1’ and B=’0’ and C=’0’ and D=’0’) then xor3_out <= ‘1’;

               elsif (A = ‘1’ and B=’0’ and C=’0’ and D=’1’) then xor3_out <= ‘0’;

               elsif (A = ‘1’ and B=’0’ and C=’1’ and D=’0’) then xor3_out <= ‘0’;

               elsif (A = ‘1’ and B=’0’ and C=’1’ and D=’1’) then xor3_out <= ‘1’;

               elsif (A = ‘1’ and B=’1’ and C=’0’ and D=’0’) then xor3_out <= ‘0’;

               elsif (A = ‘1’ and B=’1’ and C=’0’ and D=’1’) then xor3_out <= ‘1’;

               elsif (A = ‘1’ and B=’1’ and C=’1’ and D=’0’) then xor3_out <= ‘1’;

               elsif (A = ‘1’ and B=’1’ and C=’1’ and D=’1’) then xor3_out <= ‘0’;

               end if;

end process;

end behavioral_arch;

(iii)

architecture dataflow_arch of XOR3 is

begin

xor2_out <= A xor B xor C xor D;

end dataflow_arch;

Add a comment
Know the answer?
Add Answer to:
2. (a) Name and give a short description of the four design styles for describing a logic functio...
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for? Ask your own homework help question. Our experts will answer your question WITHIN MINUTES for Free.
Similar Homework Help Questions
  • (20 pts)VHDL. Implement the logic circuit specified in the following truth table by using a 4:1 m...

    (20 pts)VHDL. Implement the logic circuit specified in the following truth table by using a 4:1 mulitiplexer ome regular logic gates. 11 Draw a schematic of your implementation. 2) Suppose that you are given the following VHDL code of a 4:1 multiplexer. Please write a VHDL code to describe your implementation by using structure modeling technique, by using the following 4:1 multiplexer asia your answer component in your structure modeling. Note that you do not need to re-write the following...

  • Some questions may require well bulum 1. HDL stands for? a. Hardware Design Language b. Hardware...

    Some questions may require well bulum 1. HDL stands for? a. Hardware Design Language b. Hardware Development Language c. Hardware Description language d. Hot Dry Land 2. What is the basic building unit of a VHDL design? a. Blocks b. Cubes c . Dices d. Bricks 3. What reserved word do all VHDL entities end with? a. entity b. use c. port d. end d. IEEE 4. Which block describes a design's interface? a. entity b. architecture c. library 5....

  • QUESTION 1 Complete the following peice of VHDL code with the necessary VHDL statements for a...

    QUESTION 1 Complete the following peice of VHDL code with the necessary VHDL statements for a counter that counts through this sequence(0,9,17,15,4,26) repeatedly. library IEEE use IEEE.STD_LOGIC_1164 ALL entity GCC is Port ( systemClock, reset in STD_LOGIC end GCC architecture Behavioral of GCC is stateOutput out STD LOGIC_ VECTOR (4 downto 0)) component FreqDivider is Port (systemClock in STD_LOGIC; slowClock: out STD LOGIC); end component, signal nextState, presentState: std_logic_vector(5 downto 0) := "00000"; signal slowClock: std_logic begin FD0: FreqDivider port...

  • Name: ·5. (10 lts) Find and correct errors in the following VHDL ed. IEEE ; library use IEEE . STD LOGIC-1104 . all...

    Name: ·5. (10 lts) Find and correct errors in the following VHDL ed. IEEE ; library use IEEE . STD LOGIC-1104 . all; entity cicuitl is port (a, b, elk: in STD_LOGIC: This part of the code its correct.That is, the entity definition and the 1ibraries are written correctly S out STD LOGIC) ond; architecture synth of eicuiti is begin This part of the code ธhould be a process that groups input a and input b together to forn a...

  • PROBLEM 3 (16 PTS) ▪ With a D flip flop and logic gates, sketch the circuit...

    PROBLEM 3 (16 PTS) ▪ With a D flip flop and logic gates, sketch the circuit whose excitation equation is given by: PROBLEM 3 (16 PTS) • With a D flip flop and logic gates, sketch the circuit whose excitation equation is given by: Qit+1) + y + Q(t) + y(t) (4 pts) • Complete the timing diagram of the circuit whose VHDL description is shown below. Also, get the excitation equation for q. library ieee: elsaf (cll'event and clk...

  • I am making a 4-bit universal shift register that can perform right shift, left shift, and...

    I am making a 4-bit universal shift register that can perform right shift, left shift, and parallel loading using 4-to-1 multiplexers in VHDL. I keep getting red lines for u3, u2, u1, u0. The error says the following below. What is wrong with my code? How can I fix it? librarviees, use ieee.std_logic_1164.all; entity uni shift.reg.is porti 1 : in std. Jogis vector (3 downto.0); I, w, clock : in std logici 9: buffer std. Jogis vector (3 downto 0));...

  • SRAP pr- Vivado 2017. Eile Edit Flow Iools Window Layout Yew HelpQuick Acces Ready VO Planning Fl...

    SRAP pr- Vivado 2017. Eile Edit Flow Iools Window Layout Yew HelpQuick Acces Ready VO Planning Flow Navigator V PROJECT MANAGER Cell Properties x Clock Regions ?-OC Package x Device xsrapvhd × Schematic X O Setings Language Templates IP Catalog IPINTEGRATOR Open Block Design Qngl3이 OutVed3이 Generate Block Design SIMULATION R3.0 Run Simulation RTL ANALYSIS n Elaborated Design 白Report Methodology Report DRC Report Noise Schematic Td Console Messages Lg Reports Design Runs Package Pins VO Ports Type here to search...

ADVERTISEMENT
Free Homework Help App
Download From Google Play
Scan Your Homework
to Get Instant Free Answers
Need Online Homework Help?
Ask a Question
Get Answers For Free
Most questions answered within 3 hours.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT