ans............
1. Left & Right Shift :
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all; -- Needed for shifts
entity example_shift is
end example_shift;
architecture behave of example_shift is
signal r_Shift1 : std_logic_vector(3 downto 0) := "1000";
signal r_Unsigned_L : unsigned(3 downto 0) := "0000";
signal r_Unsigned_R : unsigned(3 downto 0) := "0000";
signal r_Signed_L : signed(3 downto 0) := "0000";
signal r_Signed_R : signed(3 downto 0) := "0000";
begin
process is
begin
-- Left Shift
r_Unsigned_L <= shift_left(unsigned(r_Shift1), 1);
r_Signed_L <= shift_left(signed(r_Shift1), 1);
-- Right Shift
r_Unsigned_R <= shift_right(unsigned(r_Shift1), 2);
r_Signed_R <= shift_right(signed(r_Shift1), 2);
wait for 100 ns;
end process;
end architecture behave;
3. Load Input & Keep value
signal Input_Delay : unsigned(3 downto 0);
process (clock)
begin
if rising_edge(clock) then
-- Create a delayed version of signal Input
Input_Delay <= shift_left(Input_Delay, 1);
Input_Delay(0) <= Input;
-- FYI: Same Code not using Shift Operator:
Input_Delay(1) <= Input_Delay(0);
Input_Delay(2) <= Input_Delay(1);
Input_Delay(3) <= Input_Delay(2);
Input_Delay(0) <= Input;
if Input_Delay(3) = '1' then
Problem 2 Create in Quartus a 4-bit circular shift register with 4 types of shifting: •...
please explain in words how 4. The block diagram of a bidirectional shift register is 2-bit code (SL, SR) with the operations performed listed in nal shift register is given below. This register is controlled by a ons performed listed in the table below. SR In SL SR SL SL In 0 Bidirectional Shift Register SHR SR- 0 Operation Hold Shift Right Shift Left Not allowed (Don't Care) 0 Clock 11 Manually simulate the register for 8 clock cycles with...
Use the Quartus Prime Text Editor to implement a structural model of the 4-bit data register shown above in a file named reg_4bit.sv. Specify the 4-bit data register’s module according to the interface specification given in the table below. Port Mode Data Type Size Description RST in logic 1-bit Active high asynchronous reset CLK in logic 1-bit Synchronizing clock signal EN in logic 1-bit Synchronous clock enable D in logic vector 4-bits Synchronous data input Q out logic vector 4-bits...
2. A 4-bit parallel in/serial out shift register has SHIFT/LOAD' and CLK inputs as shown in the figure below. What is the output Q3 at the two times('A' followed by 'B') indicated by the dashed lines in the figure below if the parallel data inputs are DO-1, D1-0, D2-1, and D3-1? D3 SHIFT/L CLK SHIFT /LOAD Ο A. A-0,9:0 B. A:0, B-1 D.A-1, B-1
Problem 4: Design a 2 bit register whose operation is controlled by the signals C1 and C2 as follows: (Use D- Flip Flops) Y2 Y1 C 2-Bit Register Clock SD PD1 PD2 Y1 Y2+ Operation Hold C1 C2 Y2 Y1 0 10 Shift Right Y1 SD 1 0 SD Y2 Shift Left PD2 PD1 Parallel Load 1 SD: Serial Data input PD1 PD2: Parallel Data input Problem 4: Design a 2 bit register whose operation is controlled by the signals...
Problem 7. Consider the 74x194 4-bit bidirectional universal shift register shown below Determine the operation of this circuit by filling out the table. Assume that the register is cleared initially as indicated by the first row in the table, and then connected to +5V (before time t), as shown in schematic. Also assume that t 'is that time at which a positive edge occurs in the input signal 'clock'. Si and S0 inputs (given) are used to switch between modes...
Create a complete Assembly Language program implementation for the following application with a) 32-bit and b) 64-bit version (If Possible) App1: Geometric Shape Calculator Companion. The program details (design, implementation, code, runs) should show evidence of technical proficiency with the following technological aspects: 1. a) Implementation using 32-bit programming. b) Re-implement using 64-bit programming. 2. Test plan; test cases implementing the test plan and screen shots displaying the executing the test cases. Note: a test plan should include various Normal,...
17. The 74195 in Figure 17 is a synchronous load, 4-bit parallel-access shift register. For this exercise, the input data is loaded at the first active clock edge. (12 pts) 74195 DSTM2 SH/LD 2 15 QC DSTMI 10 CLK CLR Figure 17 Use the circuit of Figure 17 to answer the following questions: a. Is this a ring counter or a Johnson counter? (2 pts) b. How many different states are available? (2 pts) Draw the timing diagram (four clock...
Solve it for java Question Remember: You will need to read this assignment many times to understand all the details of the you need to write. program Goal: The purp0se of this assignment is to write a Java program that models an elevator, where the elevator itself is a stack of people on the elevator and people wait in queues on each floor to get on the elevator. Scenario: A hospital in a block of old buildings has a nearly-antique...
I need help with my very last assignment of this term PLEASE!!, and here are the instructions: After reading Chapter Two, “Keys to Successful IT Governance,” from Roger Kroft and Guy Scalzi’s book entitled, IT Governance in Hospitals and Health Systems, please refer to the following assignment instructions below. This chapter consists of interviews with executives identifying mistakes that are made when governing healthcare information technology (IT). The chapter is broken down into subheadings listing areas of importance to understand...