Question

Introduction In this lab, you will explore the design of counters and timers by designing a...

Introduction

In this lab, you will explore the design of counters and timers by designing a simple kitchen timer.

Requirements

The timer has two pushbutton inputs, reset_n, and run_stop. It has one 4-bit output, seconds that drives four LEDs and a one-bit output, alarm, that drives one LED. It also has a clock input, clk.

Your timer should operate as follows:

  • The timer can be in one of two states: run=0

    and run=1.

  • run is set to 0 when reset_n is asserted; it is set to the logical inverse of run (not run) when there is a rising edge on run_stop.

  • seconds, the time remaining, is set to the ini- tial timer value (see below) when reset_n is as- serted; it is decremented by 1 once per second when run=1 and seconds/=0 (that is, when the timer is running and there is still time remain- ing).

  • The alarm LED is turned on if run=1 and the time remaining is zero.

    The initial time remaining should be 8+(? mod 8) seconds where ? is the last digit of your BCIT ID. For example, if your BCIT ID is A01456789 then ? = 9 andyourtimerwillcountfrom8+(9mod8)=9+ 1 = 10 seconds down to 0.

    Your design should be synchronous – all registers should use the same clock and not use asynchronous sets or clears. In particular, reset_n does not directly reset any registers, it is used to determine the value loaded into the register(s) on the next rising edge of the clock.

0 0
Add a comment Improve this question Transcribed image text
Answer #1

the following is the circuit for :

truth table:

run   d   c   b   a   d1   d2   d3   d4
0   0   0   0   0   1   0   1   0
0   0   0   0   1   1   0   1   0
0   0   0   1   0   1   0   1   1
0   0   0   1   1   1   0   1   0
0   0   1   0   0   1   0   1   0
0   0   1   0   1   1   0   1   0
0   0   1   1   0   1   0   1   0
0   0   1   1   1   1   0   1   0
0   1   0   0   0   1   0   1   0
0   1   0   0   1   1   0   1   0
0   1   0   1   0   1   0   1   0
0   1   0   1   1   1   0   1   0
0   1   1   0   0   1   0   1   0
0   1   1   0   1   1   0   1   0
0   1   1   1   0   1   0   1   0
0   1   1   1   1   1   0   1   0
1   0   0   0   0   0   0   0   0
1   0   0   0   1   0   0   0   0
1   0   0   1   0   0   0   0   1
1   0   0   1   1   0   0   1   0
1   0   1   0   0   0   0   1   1
1   0   1   0   1   0   1   0   0
1   0   1   1   0   0   1   0   1
1   0   1   1   1   0   1   1   0
1   1   0   0   0   1   0   0   0
1   1   0   0   1   1   0   0   1
1   1   0   1   0   1   0   1   0
1   1   0   1   1   1   0   1   1
1   1   1   0   0   1   0   1   1
1   1   1   0   1   1   1   0   1
1   1   1   1   0   1   1   1   1
1   1   1   1   1   1   1   1   1

Add a comment
Know the answer?
Add Answer to:
Introduction In this lab, you will explore the design of counters and timers by designing a...
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for? Ask your own homework help question. Our experts will answer your question WITHIN MINUTES for Free.
Similar Homework Help Questions
  • Name: Section Number: Lab by jeg/modified by jec 4450:220 DIGITAL LOGIC DESIGN, Spring 2018 Pre-Lab 7:...

    Name: Section Number: Lab by jeg/modified by jec 4450:220 DIGITAL LOGIC DESIGN, Spring 2018 Pre-Lab 7: Counters and Timers Week Eight Objectives To learn about binary and decade counters, and to design a one-hundred second timer. The Counter A counter is a hardware circuit whose output counts in sequence, changing at each rising has a three-bit out rolls over" back to zero to count through the sequence again. We can d edge of a clock input signal. As an example,...

  • In this lab, you will exercise 2 things: programming interrupts and configuring/using the timers. It's assumed...

    In this lab, you will exercise 2 things: programming interrupts and configuring/using the timers. It's assumed you are already well versed in I/O This assignment will be worth 10 points 2 point Configuring timer(s) correctly l point- Configuring interrupts correctly 3 point-Implementing ISRs correctly 1 point- All other required configurations 3 points-Correct program behavior Create a new project in Keil, named "Lab5". In this project, you should: 1 - Disable the Watchdog timer! 2- Configure the LEDs (P1.0 and P2.0,...

  • All timers below start with an accumulated value of 0 and then the timer instructions are...

    All timers below start with an accumulated value of 0 and then the timer instructions are energized for 5 seconds and then de-energized for 6 seconds. For which of the timers below will the DN bits be activated at the end of the 6 second interval? (circle all that apply) 1. a TOF with time base of 0.01 and preset of 800 b.) TON with time base of 0.01 and preset of 800 TOF with time base of 1.0 and...

  • how would i draw this circuit The Assignment: Create a second-timer circuit. Decade counters such as...

    how would i draw this circuit The Assignment: Create a second-timer circuit. Decade counters such as 74160 produce four bit binary codes that are BCD codes for the decimal digitals 0 to 9. The chip 7447 can be used to convert a BCD code to the corresponding decimal digit in the form of seven segment signals which can be displayed on a seven segment display unit. You can use two decade counter 74160 chips, each one connects to a 7447...

  • Digital Logic Design Design a 0-9 counter using four D flip flops. The counter should run...

    Digital Logic Design Design a 0-9 counter using four D flip flops. The counter should run on the SCLK output of the clock divider. It should have a four-bit binary output that increments from 0 to 9 one step on each clock cycle. When it reaches the value of 9, it should restart a 0 on the next clock cycle. Hint: consider using D flip flops with a reset input and using logic to reset the flip flops when the...

  • all witworDFFs, FFI and FFo, two 4xI multiplexers, four 2-bit registers (Ro, RI, R2, and R3; all I with p arallel outputs) and no additional logic gates, design a circuit to support the following...

    all witworDFFs, FFI and FFo, two 4xI multiplexers, four 2-bit registers (Ro, RI, R2, and R3; all I with p arallel outputs) and no additional logic gates, design a circuit to support the following operations based on 2-bit inputs M1 and MO M1 MO values Operation (at the rising edge of the clock) RO FF1 FFO (bits of RO stored in FF1&FFO IFF1 FFO (bits of R1 stored in FF1&FFO R2 FF1 FFO (bits of R2 stored in FFI &FFO...

  • Name 2. For this problem you will complete the design of the home security system shown...

    Name 2. For this problem you will complete the design of the home security system shown below. The operation of the system is as follows: INPUTS .A - The variable A will be used to indicate that a person has been detected in the home o A means a possible intruder is present o A 0means no one is present . B - The variable B will be used to indicate that a correct code has been entered o B-1...

  • THIS IS A PLC PROGRAM CALLED SIMATIC MANGER. WE NEED TO WRITE LADDER LOGIC PROGRAM AND FORM A SYM...

    THIS IS A PLC PROGRAM CALLED SIMATIC MANGER. WE NEED TO WRITE LADDER LOGIC PROGRAM AND FORM A SYMBOL TABLE We were unable to transcribe this imageThe painting turn-table system shown in the following figure has a DC motor, two limit switches, a pneumatic cylinder, a start button and two spray guns. The paint guns are activated by 24 V DC voltage. The motor turns the table only in one direction Red Spray gun Blue spray gun Limit switch trigger...

  • Instructions: For all the questions you are required to submit VHDL codes & test bench simulation...

    Please answer both questions Instructions: For all the questions you are required to submit VHDL codes & test bench simulation screenshot. If anything else is required it is mentioned in the question. Question 1.Design a 16 bit Increment Register with asynchronous reset, and increment capability using the following entity. Also, find out number of registers inferred by your code Entity reg16 is port ( clk, reset, inc load datain in stdalogic. in stdalogic. instdlogic vector (15 downto 0) out td.logicvector...

  • In this project, you will complete the entire game. Be certain to read the bulleted points...

    In this project, you will complete the entire game. Be certain to read the bulleted points below to ensure you have completed all of the required functionality. Copy ALL of the contents in the public_html/csci2447/project6 folder into the public_html/csci2447/project7 folder. This ensures that you will not overwrite your work for the previous project. You will do this for each project from here on out. If you do not do this, there is no way for me to grade your previous...

ADVERTISEMENT
Free Homework Help App
Download From Google Play
Scan Your Homework
to Get Instant Free Answers
Need Online Homework Help?
Ask a Question
Get Answers For Free
Most questions answered within 3 hours.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT