Question

I need help doing the code using Verilog modelsim Design a 32-bit register using the D...

I need help doing the code using Verilog modelsim
Design a 32-bit register using the D Flip-Flop from part (1) so that it has the following features:
(a) The Register has these ports
Outputs: Q[31:0]
Inputs: D[31:0]

CLK is the clock signal
EN is a synchronous signal for enabling the register. When EN is
asserted at the sensitive edge of the CLK, the input D is loaded
into the register.
RESET We will leave this input unconnected, but will define the
function of this signal
(b) The register is positive edge sensitive.

Write a testbench for simulating the 32-bit register you designed.
0 0
Add a comment Improve this question Transcribed image text
Answer #1

Here 32 bit register is designed using behavioural modelling style.Output Q will be reset to 0 when RST signal is high and when EN is high input D is loaded into Q.Where both RST and EN signal are synchronous with positive edge of the clock signal.

Add a comment
Know the answer?
Add Answer to:
I need help doing the code using Verilog modelsim Design a 32-bit register using the D...
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for? Ask your own homework help question. Our experts will answer your question WITHIN MINUTES for Free.
Similar Homework Help Questions
  • Objective: Creating a register file (memory) using Verilog. The register file is made up of four registers and each...

    Objective: Creating a register file (memory) using Verilog. The register file is made up of four registers and each register holds one nibble (half a byte, i.e., four bits) 3. Create a D flip-flop AD flip-flop holds 1 bit of data, and it only changes its data when the clock changes. We want a positive edge triggered flip-flop. Design your Verilog D flip-flop, so we will create them now. Enter the 2 to 4 line decoder. We will need two...

  • please give the verilog code and explain in the form of comments. Part I Consider the...

    please give the verilog code and explain in the form of comments. Part I Consider the circuit in Figure 1. It is a 4-bit synchronous counter (text Section 5.9.2) that uses four T-type flip- flops (text Section 5.5). The counter increments its value on each positive edge of the clock if the Enable signal is asserted. The counter is reset to 0 by setting the Clear b signal low - it is an active-low asynchronous clear. You are to implement...

  • Use the Quartus Prime Text Editor to implement a behavioral model of the D flip-flop described ab...

    Use the Quartus Prime Text Editor to implement a behavioral model of the D flip-flop described above in a file named d_flops.sv. Specify the D flip-flop’s module according to the interface specification given in the table below. Port Mode Data Type Size Description RST in logic 1-bit Active high asynchronous reset CLK in logic 1-bit Synchronizing clock signal EN in logic 1-bit Synchronous clock enable D in logic 1-bit Synchronous data input Q out logic 1-bit Current/present state Qbar out...

  • Use the Quartus Prime Text Editor to implement a structural model of the 4-bit data register show...

    Use the Quartus Prime Text Editor to implement a structural model of the 4-bit data register shown above in a file named reg_4bit.sv. Specify the 4-bit data register’s module according to the interface specification given in the table below. Port Mode Data Type Size Description RST in logic 1-bit Active high asynchronous reset CLK in logic 1-bit Synchronizing clock signal EN in logic 1-bit Synchronous clock enable D in logic vector 4-bits Synchronous data input Q out logic vector 4-bits...

  • I ELE230L Digital Systems Design Laboratory Lab9 - Serial Adder Vaughn College of Aeronautics and...

    I need help putting this serial adder block diagram into multisim software I ELE230L Digital Systems Design Laboratory Lab9 - Serial Adder Vaughn College of Aeronautics and Technology Number of Lab Session (Week): 2 1 Discussion The purpose of this lab is to design, simulate, and implement a 4-bit serial adder SADD. A block diagram is shown below. The SADD has two int bit FA with a carry-hold flip-flop. Its input is a 4-bit data input (D-Do), a rising edge...

  • I need help putting this serial adder block diagram into multisim software I ELE230L Digital Systems...

    I need help putting this serial adder block diagram into multisim software I ELE230L Digital Systems Design Laboratory Lab9 - Serial Adder Vaughn College of Aeronautics and Technology Number of Lab Session (Week): 2 1 Discussion The purpose of this lab is to design, simulate, and implement a 4-bit serial adder SADD. A block diagram is shown below. The SADD has two int bit FA with a carry-hold flip-flop. Its input is a 4-bit data input (D-Do), a rising edge...

  • Design a 3- bit Multipurpose Register. The register utilizes 3 "D" type flip flops with outputs...

    Design a 3- bit Multipurpose Register. The register utilizes 3 "D" type flip flops with outputs Q0, Q1, Q2. The Registers has a synchronous clock input(CLK) that clocks all 3 flip flops on its positive edge The Registers has an asynchronous clear input(CLR' ) that sets all flip flops to "0" when active low. The Register has 2 select inputs, S0 and S1 that selects the functions as folows: S1 = 0, 0, 1, 1 and S0 = 0,1,0,1 and...

  • Write a HDL code for 1 bit D-register with a rising edge clock, a synchronous active-low reset an...

    WRITE IN SYSTEM VERILOG: Write a HDL code for 1 bit D-register with a rising edge clock, a synchronous active-low reset and an asynchronous active-high enable pin. B2. Write a HDL code for 1 bit D-register with a rising edge clock, a synchronous active-low reset and an asynchronous active-high enable pin. B2.

  • b. (i) Draw the circuit diagram of a 4-bit shift register using D-flip-flop. (2 marks) (ii)...

    b. (i) Draw the circuit diagram of a 4-bit shift register using D-flip-flop. (2 marks) (ii) Supposing the 4-bit data 1011 is to be transfer in a 4-stage shift register using D-flip- flop, right-out the corresponding output of each of the flip-flop after the 6th clock pulses. (4 marks) c. Design a synchronous counter that go through the state 3, 4, 5, 7,8, 9, 10 . (13 marks)

  • 5.28 The Verilog code in Figure P5.9 represents a 3-bit linear-feedback shift register (LFSR) This type...

    5.28 The Verilog code in Figure P5.9 represents a 3-bit linear-feedback shift register (LFSR) This type of circuit generates a counting sequence of pseudo-random numbers that repeats after 2" - 1 clock cycles, where n is the number of flip-flops in the LFSR. Synthesize a circuit to implement the LFSR in a chip. Draw a diagram of the circuit. Simulate the circuit's behavior by loading the pattern 001 into the LFSR and then enabling the register to count. What is...

ADVERTISEMENT
Free Homework Help App
Download From Google Play
Scan Your Homework
to Get Instant Free Answers
Need Online Homework Help?
Ask a Question
Get Answers For Free
Most questions answered within 3 hours.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT