Question

This section gives you freedom to come up with your own solutions.

An Arithmetic and Logic Unit (ALU) is a combinational circuit that performs logic and arithmetic micro-operations on a pair of 4-bit operands. The operations performed by an ALU are controlled by a set of function-select inputs. In this lab you will design a 4-bit ALU with 3 function-select inputs: Mode M, Select S1 and S0 inputs. The mode input M selects between a Logic (M=0) and Arithmetic (M=1) operation.

Note that the zero and Carry flags are cleared for logical operation and are affected by the arithmetic operations only

This design project gives you freedom to come up with two different solutions. Make sure that no latches exist in the design. The two designs should be different in the structure and hardware resource requirement.

Carry in Co Carry out Cout Data in A[ 301-4 Z ( Zero flag) Data in B[3:0] 4-bit ALU Data output FI3:0] Operation select S 1 S

0 0
Add a comment Improve this question Transcribed image text
Answer #1

Co ALU +B+ C OA A 20 T,8t Au lock ove u Ab TAD TA0 eso et FA

Add a comment
Know the answer?
Add Answer to:
This section gives you freedom to come up with your own solutions. An Arithmetic and Logic Unit (...
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for? Ask your own homework help question. Our experts will answer your question WITHIN MINUTES for Free.
Similar Homework Help Questions
  • Using Structural Modeling in VHDL write the code for: An Arithmetic Logic Unit (ALU) shown in...

    Using Structural Modeling in VHDL write the code for: An Arithmetic Logic Unit (ALU) shown in the figure below. A (16-bit), B (16-bit), Opcode (3-bit), and Mode (1-bit) are the inputs; and ALUOut (16-bit) and Cout (1-bit) are the outputs of the design. A and B hold the values of the operands. Mode and Opcode together indicate the type of the operation performed by ALU. The ALU components ARE: -Arithmetic Unit that consists of one 16-bit adder, 16-bit subtractor, 16-bit...

  • The Arithmetic Logic Unit The first topic for the project is to create an Arithmetic Logic...

    The Arithmetic Logic Unit The first topic for the project is to create an Arithmetic Logic Unit, using a structured approached with a Virtual Hardware Design Language such as Verilog. Mainly, the program is very close to a simulator for a programming calculator. An ALU typically has the following operations Math Functions: Add, Subtract, Multiply, Divide, Modulus Logic Functions: And, Or, XOR, Not, Nand, Nor, XNOR Error Modes: Divide by Zero, Overflow Support Functions: No Operation, Shift Left, Shift Right,...

  • Derive the logic gates for a 2-bit Arithmetic Logic Unit (ALU) with four micro-operations: 1) Complete...

    Derive the logic gates for a 2-bit Arithmetic Logic Unit (ALU) with four micro-operations: 1) Complete the table below by showing the select input bits and the necessary groupings. (5 points) Select Inputs Micro-Operation Description F = A-B-1 F = A + B +1 F = AVB F = ashl A Subtraction with borrow Addition with carry Logic OR Arithmetic shift left 2) Draw a detailed logic circuit of the ALU's arithmetic unit. (10 points) 3) Draw a detailed logic...

  • A 1-bit ALU is shown as in Figure 3. The circuit performs both arithmetic and logic...

    A 1-bit ALU is shown as in Figure 3. The circuit performs both arithmetic and logic operations. Determine the operations of the ALU for each combination of the two (2) operation bits , OP1 and OP2, and Binvert bit by completing Table 1. When do 1’s complement and 2’s complement operations are performed. (Please explain each step)             Binvert carry in operation a 10 1 Result b 12 3 carry out Figure 3 Binvert Operation Operation- bit OP1 OP2 0...

  • FIRST ACTIVITY: (100/100) . SIMPLE 4-BIT ARITHMETIC LOGIC UNIT (ALU): This circuit selects between arithmetic (absolute...

    FIRST ACTIVITY: (100/100) . SIMPLE 4-BIT ARITHMETIC LOGIC UNIT (ALU): This circuit selects between arithmetic (absolute value, addition) and logical (XOR, AND) operations. Only one result (hexadecimal value) can be shown on the 7-segment display This is selected by the input sel (1..0) B A-BI A+B A xnor B A nand B Input EN: If EN-1result appears on the 7 segment display. If EN=0 → all LEDs in the 7 segment display are off Arithmetic operations: The 4-bit inputs A...

  • 1 Design an arithmetic-logic circuit with 3-bit opcode variables P Pila and two 4-bits data inputs...

    1 Design an arithmetic-logic circuit with 3-bit opcode variables P Pila and two 4-bits data inputs A and B. The circuit generates the following arithmetic, and logic operations. Draw the logic diagram with carry COLL) and overflow (OF )outputs. (Using a 4-bit adder) Р 1 2 P2 0 0 P. 0 0 1 1 A A A. A Q Q Q 0 1 0 OPERATION A - B A + B A A +1 AAB A A/ 2 A* 2...

  • WITHOUT using VHDL coding, Design the arithmetic unit by showing the truth tables, expressions and the...

    WITHOUT using VHDL coding, Design the arithmetic unit by showing the truth tables, expressions and the logic circuits! How would I also implement the status flags (Z,C,V) in my circuit? S2 0 1 1. Design a 4-bit Arithmetic Logic Unit (ALU) according to the following specification. Follow the design shown during the lecture. Notice this table is different, though. A(0:3) B(0:3) S1 So Function (F) 0 0 A+B 0 0 A-B Z ALU 0 0 A-1 0 A +1 0...

  • Implement an arithmetic logic unit (ALU) using Verilog. Consider signed number arithmetic operation. The outputs of...

    Implement an arithmetic logic unit (ALU) using Verilog. Consider signed number arithmetic operation. The outputs of the ALU should be 1) Addition of two 8-bit numbers 2) A Zero Flag. It is set (it is 1 if the condition is met and 0 otherwise) if the result is zero. 3) A Negative Flag. It is set (it is 1 if the condition is met and 0 otherwise) if the result is less than 0. 4) An Overflow Flag. It is...

  • You need to design a circuit that implements the functions in the following table: S0 and...

    You need to design a circuit that implements the functions in the following table: S0 and S1 are 1-bit control inputs to select the function of the circuit. Inputs A and B are 4-bit numbers in 2s complement form. The output is also a 4-bit number in 2s complement form. You are allowed to use only one TTL 7483 4-bit adder to implement all the functions. But any number of other components (except the adder) can be used. HINT: Design...

  • Q2. Design a 8-bit ALU (Arithmetic Logic Unit) supporting the following instructions, Z and C values...

    Q2. Design a 8-bit ALU (Arithmetic Logic Unit) supporting the following instructions, Z and C values should be re-evaluated (updated) ifY changes Instruction type code[2:0] operations Logical Status update 001 010 011 100 101 110 ( Bitwise AND) Y = A & B: | Z (C is always 0) (bitwise OR) Y- A B; (bitwise XOR) Y-A B Z (Cis always 0) (negation) Y =-A; (Addition) Y A + B: (subtraction) Y = A-B: (Increment) Y-A+1 (decrement) Y-A-1 Z (C...

ADVERTISEMENT
Free Homework Help App
Download From Google Play
Scan Your Homework
to Get Instant Free Answers
Need Online Homework Help?
Ask a Question
Get Answers For Free
Most questions answered within 3 hours.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT