Question

Design an 8-function ALU that accepts 4-bit inputs a and b, a 3-bit input signal select, and produces a 5-bit output out. The ALU implements the following functions based on 3-bit input signal select. - - - - - - - select signal function 3’b000 out = a 3’b001 out = a+b 3’b010 out = a-b 3’b011 out = a/b 3’b100 out = a%b (remainder) 3’b101 out = a << 1 3’b110 out = (a>b) (magnitude comparison) 3’b111 out = (a>b) (magnitude comparison) You must simulate all these eight functions using a testbench. For each of these functions, test the design for 9 values in all (a = 3, 2, 1 and b = 1, 2, 3.)

0 0
Add a comment Improve this question Transcribed image text
Request Professional Answer

Request Answer!

We need at least 10 more requests to produce the answer.

0 / 10 have requested this problem solution

The more requests, the faster the answer.

Request! (Login Required)


All students who have requested the answer will be notified once they are available.
Know the answer?
Add Answer to:
Design an 8-function ALU that accepts 4-bit inputs a and b, a 3-bit input signal select,...
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Similar Homework Help Questions
  • Timescale is set to 100 ps / 1ps and the 1-bit signal of both inputs A...

    Timescale is set to 100 ps / 1ps and the 1-bit signal of both inputs A and B is (0,0) -> (0,1) -> (1,0) -> (1,1 ) To simulate the output waveform of each logic when input to the AND, OR, NAND, NOR, and XOR logic with two inputs. Please submit the following for your design logic: (1) Verilog code (basic.v). (2) Testbench code (tb1.v) (3) Execute (1) in ModelSim to output the waveform ◼ Define a module with the...

  • Q2 (20pts) Design a combinational ct that accepts an input 3-bit binary number (XYZ) and generate...

    Q2 (20pts) Design a combinational ct that accepts an input 3-bit binary number (XYZ) and generates an output 4-bit binary number (ABCD) where output equal to the double of the input number. (a) Construct the truth table (b) State each output-bit as a function in sum of minterms (SOM) form: (c) State each output-bit as a function in product of maxterms (POM) form: ΠΜ(.) (d) Optimize the circuit using K-maps and find the simplified functions Show your work full-credit. Q3...

  • Problem 3 - Arithmetie Logic Unit (ALU) Design us poins Design a 4-bit ALU that has two selection...

    Problem 3 - Arithmetie Logic Unit (ALU) Design us poins Design a 4-bit ALU that has two selection variables Si Design an optimized circuit (mus external gates for circuit B operates based on the function table given below. The arithmetic unit and So and generates the arithmetic operations given below. and generatest Use a 4-1 MUX block with Si So Cin = 1 F-A (complement) F = A+B (add) FB (transfer) F A+B F = A+ 1 (negate) F A+B+...

  • Design a 4-bit ALU with the truth table above. In this design A and B are two 4-bit binary inputs, s0, s1, s2, s3 and Ci...

    Design a 4-bit ALU with the truth table above. In this design A and B are two 4-bit binary inputs, s0, s1, s2, s3 and Cin are control signals. There is no need to draw the internal circuits of MUX & Full adders but I need the logic gates drawn out. S3 S2 s1 Cin Operation 0 A 0 0 0 1 A+1 0 0 1 10 A+B 0 1 1 A+B+1 A+B 0 0 0 0 0 A+B'+1 0...

  • This section gives you freedom to come up with your own solutions. An Arithmetic and Logic Unit (...

    This section gives you freedom to come up with your own solutions. An Arithmetic and Logic Unit (ALU) is a combinational circuit that performs logic and arithmetic micro-operations on a pair of 4-bit operands. The operations performed by an ALU are controlled by a set of function-select inputs. In this lab you will design a 4-bit ALU with 3 function-select inputs: Mode M, Select S1 and S0 inputs. The mode input M selects between a Logic (M=0) and Arithmetic (M=1)...

  • FIRST ACTIVITY: (100/100) . SIMPLE 4-BIT ARITHMETIC LOGIC UNIT (ALU): This circuit selects between arithmetic (absolute...

    FIRST ACTIVITY: (100/100) . SIMPLE 4-BIT ARITHMETIC LOGIC UNIT (ALU): This circuit selects between arithmetic (absolute value, addition) and logical (XOR, AND) operations. Only one result (hexadecimal value) can be shown on the 7-segment display This is selected by the input sel (1..0) B A-BI A+B A xnor B A nand B Input EN: If EN-1result appears on the 7 segment display. If EN=0 → all LEDs in the 7 segment display are off Arithmetic operations: The 4-bit inputs A...

  • Design a 3-bit down counter FSM with no inputs and three outputs. Do this using a...

    Design a 3-bit down counter FSM with no inputs and three outputs. Do this using a T flip flop. a. Draw a state diagram and the corresponding state table. b. Derive the equations for output functions and flip-flop input functions c. Draw the logic circuit diagram

  • – Write and test a constrained random stimulus testcase for the testbench. Use ModelSim or a simi...

    – Write and test a constrained random stimulus testcase for the testbench. Use ModelSim or a similar simulator to test the transactor. Provide the code and evidence of its function. // ---------------------------------------------------------------------------- // File name: alu.v // Designed by: Jim Moran // ---------------------------------------------------------------------------- // // This module is the Arithmetic Logic Unit // // ---------------------------------------------------------------------------- `timescale 1ns/1ps //----------------------------------------------------------------------------- // Module Declaration //----------------------------------------------------------------------------- module alu (    // Global Signals    Clk_In, // Rising Edge Clock Input    Rst_l_In, // Active Low Reset Input   ...

  • A 4-input priority encoder has four l-bit inputs A,B,C,D and two 1-bit outputs F1, F0.

    Encoders Question 3: A 4-input priority encoder has four l-bit inputs A,B,C,D and two 1-bit outputs F1, F0. The input A has the highest priority and the input D has the lowest priority. The function of this encoder is described in the following truth table. Derive the expressions of F1, F0.

  • PROBLEM STATEMENT The mini-calculator will use a small ALU to perform arithmetic operations on two 4-bit values which are set using switches. The ALU operations described below are implemented with a...

    PROBLEM STATEMENT The mini-calculator will use a small ALU to perform arithmetic operations on two 4-bit values which are set using switches. The ALU operations described below are implemented with an Adder/Subtractor component. A pushbutton input allows the current arithmetic result to be saved. An upgraded mini-calculator allows the saved value to be used in place of B as one of the operands. The small ALU that you will design will use the 4-bit adder myadder4 to do several possible...

ADVERTISEMENT
Free Homework Help App
Download From Google Play
Scan Your Homework
to Get Instant Free Answers
Need Online Homework Help?
Ask a Question
Get Answers For Free
Most questions answered within 3 hours.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT