Question

Task (10 points): (1) Approach 1: Implement a 4-to-16-line decoder using the schematic capture feature of Xilinx ISE. On the schematic, add a text that clearly shows your name and eRaider ID. (2) Approach 2: Write and compile a 4-to-16-line decoder Verilog gate-level description. (3) Approach 3: Write and compile a 4-to-16-line decoder Verilog behavioral description. (4) Create an appropriate test file to do an exhaustive test. Exhaust all the possible input codes in 3 the following order: 0000 → 0001 → 0010 → 001 1 → for 20 ns, then change to the next input code. → 111 1 . For each input code, hold (5) Run simulation and generate output waveforms for all three approaches to demonstrate that your design works correctly. Be careful about your design to make sure each output is appropriate for the input. Report: Turn in these hardcopy sheets: (1) The schematic of your design including your name and eRaider ID embedded on that sheet; (2) Your Verilog Codes (3) Your test files (4) Your waveform printouts

0 0
Add a comment Improve this question Transcribed image text
Answer #1

Trui - T a bl e O o 0 04x16 Deuo der -Be havioral Verilog r4x16 dxoder - module V, 4to I6 dec (A, B, (G o, I); input [4: o] A; lnteqer U always @ CA or Bor Cor) be

Add a comment
Know the answer?
Add Answer to:
Task (10 points): (1) Approach 1: Implement a 4-to-16-line decoder using the schematic capture feature of...
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for? Ask your own homework help question. Our experts will answer your question WITHIN MINUTES for Free.
Similar Homework Help Questions
  • Draw the schematic of a 2-4 line decoder using basic gates. (xou need to use 7404,...

    Draw the schematic of a 2-4 line decoder using basic gates. (xou need to use 7404, 7408 & 7432. For detailed instruction about these ICs refer to Lab HO #3). 1. 2. Write down the IC numbers and pin numbers of the gates in your schematic. 3. Find the Truth Table and Boolean Expression of the circuit 4. 5. Now based on the same design principles comclude a 3-8 line decoder and draw the diagram only (no need for the...

  • Objective: In this lab, we will learn how we can design sequential circuits using behavioral mode...

    Just need the code for the random counter,Thanks Objective: In this lab, we will learn how we can design sequential circuits using behavioral modelling, and implementing the design in FPGA. Problem: Design a random counter with the following counting sequence: Counting Sequence: 04 2 9 168573 Design Description: The counter has one clock (Clock), one reset (Reset), and one move left or right control signal (L/R) as input. The counter also has one 4bit output O and one 2bit output...

  • Write a VERILOG simulation code for a 3 to 8 decoder and a simulation code for...

    Write a VERILOG simulation code for a 3 to 8 decoder and a simulation code for a 4 to 16 decoder using two 3 to 8 decoders. The code used for 3 to 8 decoder: Code used for 4 to 16 decoder: Need help with simulation code. 22 module Dec3to8 ( 23 input [2:0 A input E output [7:0] D 24 25 26 27 E &A[2]& 28 assign D[0] A[1 A[0] E &A[2] &A[1] assign D[1] & A[0] 29 E...

  • Task 1: One implementation of a multiplexer uses a decoder. Using Logic Circuit,create a new schematic,...

    Task 1: One implementation of a multiplexer uses a decoder. Using Logic Circuit,create a new schematic, import one of the decoders created in a previous lab and create a logic dircuit that implements the truth table below Task 2: Create a logic circuit that can display two 4-bit digits on two 7-segment displays using a single 7- segment display decoder and 4 multiplexers. To do this you will use four switches to enter the first number, and a second set...

  • using 4 to 1 line multiplaxors that have tri-state outputs with an active low enable input,along...

    using 4 to 1 line multiplaxors that have tri-state outputs with an active low enable input,along with a 2 to 4 line active low output decoder, draw a schematic block diagram of a 16 to 1 multiplexor

  • ECE 1552- Summer 2019 Homework 2: Solve all questions. HW is to be turned in as a PDF or word document on canvas. Show...

    ECE 1552- Summer 2019 Homework 2: Solve all questions. HW is to be turned in as a PDF or word document on canvas. Show all working. Answers provided should be typed or written CLEARLY 1: Find a function to detect an error in the representation of a decimal digit in BCD. In other words, write an equation with value 1 when the inputs are any one of the six unused bit combinations in the BCD code, and value 0 otherwise...

  • ELEC3720-PROGRAMMABLE LOGIC DESIGN ASSIGNMENT 1. This assignment will give you an introductory experience on digital logic...

    ELEC3720-PROGRAMMABLE LOGIC DESIGN ASSIGNMENT 1. This assignment will give you an introductory experience on digital logic design asing CAD tools while reviewing some concepts Cveeed in the peevions courses This is an individual assignment This assignaient due on the Friday of week-3, at 17:00 hrs Please submit your assignment source code皿blackboard. Go to the 'Assignment" folder and then follow the Assigmerat 1.1 Sumin Poetal link In addition, you are regaired to submit a short repoet which should include the following...

  • Vcc 16 15 14 2 LT3 4 Bl 12 6 10 9 GND 8 Figure 1: Overall 7446 Decoder circuit VCC 5.0V A B CDE...

    Vcc 16 15 14 2 LT3 4 Bl 12 6 10 9 GND 8 Figure 1: Overall 7446 Decoder circuit VCC 5.0V A B CDEFG 74LS47 vec OA OB ос OD LE -RBI OG BI/RBO GND 470 Ohm 1 kOhm 1 kOhm 1 kOhm 1 kOhm Figure 2: Overall Schematic of the Decoder circuit for Procedure 4 VCC 5.0V 1 kohm kOhm 1 kohm 1 Kohm 74LS47 AB COEFG OD oc OD 0E OE OG LT I /RSO 470 Ohm...

  • 3. (2 10 20 points) Design a decade counter using a 2-4-2-1 weighted code for decimal...

    3. (2 10 20 points) Design a decade counter using a 2-4-2-1 weighted code for decimal digits (see table below) using the following flip-flop types: a. D Flip-flops S-R Flip-flops b. Digit ABCD 0000 1 0001 2 0010 3 0011 4 0100 1011 6 1100 7 1101 8 1110 1111 4. (6 points) Redraw the circuit from problem 3.a. using NAND gates only. 3. (2 10 20 points) Design a decade counter using a 2-4-2-1 weighted code for decimal digits...

  • Using PuTTY Linux Server Task Compiling:             1) Download the two files from blackboard, driver.cpp, and...

    Using PuTTY Linux Server Task Compiling:             1) Download the two files from blackboard, driver.cpp, and circle.h             2) Create a new directory to store the files in             3) Compile the code a) Run the command g++ driver.cpp -o executable_name, this will compile the code both for driver.cpp and the referenced file circle.h note: -o parameter specifies a new name for the executable, if you do not specify the “-o” parameter the default name of the executable is “a.out”...

ADVERTISEMENT
Free Homework Help App
Download From Google Play
Scan Your Homework
to Get Instant Free Answers
Need Online Homework Help?
Ask a Question
Get Answers For Free
Most questions answered within 3 hours.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT