Question

verilog hdl

verilog hdl
media%2Fd3c%2Fd3c08559-3038-4a08-9810-cc
0 0
Add a comment Improve this question Transcribed image text
Answer #1

INPUTs

OUTPUTs

A

B

C

D

X

Y

0

0

0

0

0

0

0

0

0

1

0

0

0

0

1

0

0

1

0

0

1

1

0

1

0

1

0

0

0

1

0

1

0

1

0

1

0

1

1

0

0

1

0

1

1

1

0

1

1

0

0

0

1

0

1

0

0

1

1

0

1

0

1

0

1

1

1

0

1

1

1

1

1

1

0

0

0

1

1

1

0

1

0

0

1

1

1

0

1

1

1

1

1

1

1

0

///////////////////////////////////////////////////////////////////////////////////////////////////////////////


module design_ (A, B, C, D, X, Y);

input A, B, C, D;

output X, Y;

assign X = A & (~B | C);

assign Y = (~B & C) | (~(A & D) & (B | C));

endmodule

////////////////////////////////////////////////////////////////////////////////////////////////////////////////////


module design_testbench ();

reg A, B, C, D;

wire X, Y;

design_ uut (A, B, C, D, X, Y);

initial

begin

   {A, B, C, D} <= 4'b0000;
   #5;

   {A, B, C, D} <= 4'b0001;
   #5;

   {A, B, C, D} <= 4'b0010;
   #5;

   {A, B, C, D} <= 4'b0011;
   #5;

   {A, B, C, D} <= 4'b0100;
   #5;

   {A, B, C, D} <= 4'b0101;
   #5;

   {A, B, C, D} <= 4'b0110;
   #5;

   {A, B, C, D} <= 4'b0111;
   #5;

   {A, B, C, D} <= 4'b1000;
   #5;

   {A, B, C, D} <= 4'b1001;
   #5;

   {A, B, C, D} <= 4'b1010;
   #5;

   {A, B, C, D} <= 4'b1011;
   #5;

   {A, B, C, D} <= 4'b1100;
   #5;

   {A, B, C, D} <= 4'b1101;
   #5;

   {A, B, C, D} <= 4'b1110;
   #5;

   {A, B, C, D} <= 4'b1111;
   #5;

end

endmodule

//////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////

E Wave File Edit View Add Format Tools Bookmarks Window Help Wave -Default a x tat t ,票 44. 43-4 te 。.he 9 t←짜 R7 00 p.劃ELE

Add a comment
Know the answer?
Add Answer to:
verilog hdl
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for? Ask your own homework help question. Our experts will answer your question WITHIN MINUTES for Free.
Similar Homework Help Questions
ADVERTISEMENT
Free Homework Help App
Download From Google Play
Scan Your Homework
to Get Instant Free Answers
Need Online Homework Help?
Ask a Question
Get Answers For Free
Most questions answered within 3 hours.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT