Question

A simple keypad can be represented as in Fig. 1. As can be seen in this...

A simple keypad can be represented as in Fig. 1. As can be seen in this figure, the keypad has seven output lines, three for row and four for column locations respectively. When a key is pressed, corresponding row and column lines will produce logic level 1. Design a combinational circuit working as a keypad decoder. The input of the circuit will be the output lines of the keypad. The output of the circuit will be the corresponding binary number in three bits. If * or # key is pressed, the output of the circuit will be zero.

*** programmed in Verilog Xilinx Vivado(all source code neeeded)

exercises validate design creating a test-bench module.

0 0
Add a comment Improve this question Transcribed image text
Request Professional Answer

Request Answer!

We need at least 10 more requests to produce the answer.

0 / 10 have requested this problem solution

The more requests, the faster the answer.

Request! (Login Required)


All students who have requested the answer will be notified once they are available.
Know the answer?
Add Answer to:
A simple keypad can be represented as in Fig. 1. As can be seen in this...
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Similar Homework Help Questions
  • A specific type of bit-level manipulation consists in setting or clearing one single bit in a...

    A specific type of bit-level manipulation consists in setting or clearing one single bit in a multi-bit value, given its index and its new value. This operation can be implemented in hardware by a BitSet circuit with the following interface: Input x is a 4-bit value representing the original value. Output y is a 4-bit value representing the modified value, after the bit-set operation. Input index is a 2-bit value, ranging from 0 to 3, indicating the index of the...

  • Write the following program to be executed on the FPGA board. 1. Write a VHDL model...

    Write the following program to be executed on the FPGA board. 1. Write a VHDL model for a code detector as shown in the Figure. The keypad is used to unlock a door. Pressing the start button followed by the sequence red-green-red-blue unlocks the door, no other sequence can open the door. Assume the clock is slowed down and each pressing of a button is detected once. For example when red is pressed it is only detected as pressed for...

  • 1. (15 pts) Simplify the following Boolean functions using K-maps: a. F(x,y,z) = (1,4,5,6,7) b. F(x,...

    1. (15 pts) Simplify the following Boolean functions using K-maps: a. F(x,y,z) = (1,4,5,6,7) b. F(x, y, z) = (xy + xyz + xyz c. F(A,B,C,D) = 20,2,4,5,6,7,8,10,13,15) d. F(A,B,C,D) = A'B'C'D' + AB'C + B'CD' + ABCD' + BC'D e. F(A,B,C,D,E) = (0,1,4,5,16,17,21,25,29) 2. (12 pts) Consider the combinational logic circuit below and answer the following: a. Derive the Boolean expressions for Fi and F2 as functions of A, B, C, and D. b. List the complete truth table...

  • Objective: In this lab, we will learn how we can design sequential circuits using behavioral mode...

    Just need the code for the random counter,Thanks Objective: In this lab, we will learn how we can design sequential circuits using behavioral modelling, and implementing the design in FPGA. Problem: Design a random counter with the following counting sequence: Counting Sequence: 04 2 9 168573 Design Description: The counter has one clock (Clock), one reset (Reset), and one move left or right control signal (L/R) as input. The counter also has one 4bit output O and one 2bit output...

  • 1&2 and please I need quickly. Q1 (35 pts): Design a combinational circuit that takes 8...

    1&2 and please I need quickly. Q1 (35 pts): Design a combinational circuit that takes 8 bits of input and checks iif the inputs are symmetric or not and produces an output immediately. Example: 10011001 or 11000011 produce 1 and 11011010 or 11001100 produce 0.) (a) Write Verilog RTL for this circuit. (b) Same functionality but output appears next cycle. You can instantiate the design in part a. (c) Same functionality but output appeurs after two cycles. You can instantiate...

  • number 4 and 5 please! PROBLEM STATEMENT A logic circuit is needed to add multi-bit binary...

    number 4 and 5 please! PROBLEM STATEMENT A logic circuit is needed to add multi-bit binary numbers. A 2-level circuit that would add two four-bit numbers would have 9 inputs and five outputs. Although a 2-level SOP or POS circuit theoretically would be very fast, it has numerous drawbacks that make it impractical. The design would be very complex in terms of the number of logic gates. The number of inputs for each gate would challenge target technologies. Testing would...

  • ·20) |19) 118) 117) 116) 115) Question 1.(20 points, I point each. Put answers into the...

    ·20) |19) 118) 117) 116) 115) Question 1.(20 points, I point each. Put answers into the above table) 13)一114) 2)- ) S-bit signed binary data can represent the decimal values from 0 to 256 2) 10111 is the two's complement representation of b. False a. True a. -23 b.-9 c.-7 d. +22 e.+7 3) 01110 is the two's complement representation of a.-13 b.-15 c.-9 d.+14 e.+18 a.A. b, B, c.A+B d, B c, (AB). a. Trueb. False a. True a....

  • Can you use Multisim or something similar. I got the truth table and design, but having...

    Can you use Multisim or something similar. I got the truth table and design, but having a hard time with the actual wiring. I  need to see where each cable and light bulb go. 3.4. Multiplexer Multiplexers are very useful components in digital systems. They transfer a large number of information units over a smaller number of channels, (usually one channel) under the control of selection signals. Fig. 3 is a 4-line to l-line MUX. In this circuit, lo, 11, 12,...

  • In this lab, you will design a finite state machine to control the tail lights of...

    In this lab, you will design a finite state machine to control the tail lights of an unsual car. There are three lights on each side that operate in sequence to indicate thedirection of a turn. Figure ! shows the tail lights and Figure 2 shows the flashing sequence for (a) left turns and (b) right rums. ZOTTAS Figure 28:8: BCECECece BCECECECes BCECECECB BCECECBCB 8888 Figure 2 Part 1 - FSM Design Start with designing the state transition diagram for...

  • How can we assess whether a project is a success or a failure? This case presents...

    How can we assess whether a project is a success or a failure? This case presents two phases of a large business transformation project involving the implementation of an ERP system with the aim of creating an integrated company. The case illustrates some of the challenges associated with integration. It also presents the obstacles facing companies that undertake projects involving large information technology projects. Bombardier and Its Environment Joseph-Armand Bombardier was 15 years old when he built his first snowmobile...

ADVERTISEMENT
Free Homework Help App
Download From Google Play
Scan Your Homework
to Get Instant Free Answers
Need Online Homework Help?
Ask a Question
Get Answers For Free
Most questions answered within 3 hours.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT