Question

6. Show how to connect a 74HC93 4-bit asynchronous counter for each of the following moduli: (a) 9 (b) 11 (c) 13 (d) 14 (e) 15
10. The waveforms in Figure 9-69 are applied to the count enable, clear, and clock inputs as indi- cated. Show the counter output waveforms in proper relation to these inputs. The clear input is asynchronous. CTEN CTENCTR DIV 16 CLR
0 0
Add a comment Improve this question Transcribed image text
Know the answer?
Add Answer to:
6. Show how to connect a 74HC93 4-bit asynchronous counter for each of the following moduli:...
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for? Ask your own homework help question. Our experts will answer your question WITHIN MINUTES for Free.
Similar Homework Help Questions
  • 5. (7 points) Shown in the following block diagram is a 4-bit up-counter with parallel load, clk ...

    5. (7 points) Shown in the following block diagram is a 4-bit up-counter with parallel load, clk Dc BA load clr where clr and load are asynchronous inputsi.e., one of the following operations will be performed “simultaneously" (independently of the clock) when the inputs change values: clr load operations 1 X clear 0 0parallel load 1 up-counting 0 the above block diagram and any logic gates you want to build an offset down-counter to count from QpQcQBQA 0111 0110010 ....

  • Design (and then verify your design by simulating it) a two-bit counter that counts up or...

    Design (and then verify your design by simulating it) a two-bit counter that counts up or down. Use an enable input E to determine whether the counter is on or off: if E = 0 the counter is disabled and remains at its present count even if clock pulses are applied. If E = 1, the counter is enabled and a second input, x, determines the direction of the count: if x = 1 the circuit counts upward 00, 01,...

  • Consider the circuit in Figure 1. It is a 4-bit (QQ2Q3) synchronous counter which uses four T-typ...

    Consider the circuit in Figure 1. It is a 4-bit (QQ2Q3) synchronous counter which uses four T-type flip-flops. The counter increases its value on each positive edge of the clock if the Enable signal is asserted. The counter is reset to 0 by setting the Clear signal low. You are to implement an 8-bit counter of this type Enable T Q Clock Clear Figure 1. 4-bit synchronous counter (but you need to implement 8-bit counter in this lab) Specific notes:...

  • a. How many s are oquinst to build a binary counter that counts tihom 0 to 102" s Determine he fhroquensy at the outpst of the last FF of this counter for an input clock trequneney What is the...

    a. How many s are oquinst to build a binary counter that counts tihom 0 to 102" s Determine he fhroquensy at the outpst of the last FF of this counter for an input clock trequneney What is the counter's MOD number? d If the counter is initially at zero, what counter will it hold after 2060 pulses? 9 Cnsider the timing diagram shown below for JK Flip Flop (NOR), Complete the output waveform for Q clock IK Apply the...

  • 6. (20') Asynchronous Counters (Please show all your steps.) (a) How many Flip-flops are required to...

    6. (20') Asynchronous Counters (Please show all your steps.) (a) How many Flip-flops are required to build a binary counter that counts from 0 to 63? (b) Determine the frequency at the output of the last Flip-flop of this counter for an input clock frequency of 256 KHz. (C) If the counter is initially at zero, what count will it hold after 68 pulses? (d) Suppose the counter was designed to be an asynchronous/ripple counter. Determine the maximum input clock...

  • Design in VHDL a 4-bit up-down counter as presented below: The operation of the up-down counter...

    Design in VHDL a 4-bit up-down counter as presented below: The operation of the up-down counter is described by the following truth table: S1 S0 Action 0 0 Hold 0 1 Count up 1 0 Count down 1 1 Parallel Load Provide VHDL code and testbench XЗ Q3 X3X2X1X0 Parallel Load X2 S1SO Function Select Input Q2 RST-Asynchronous Reset Input X1 CLK- Clock Input Q1 хо Q3Q2Q1Q0 - Parallel Output Q0 CLK S1 S0 RST XЗ Q3 X3X2X1X0 Parallel Load...

  • 1. Build the 4-bit synchronous count up counter (using two 74109 Dual J-K F.F and 74LS08...

    1. Build the 4-bit synchronous count up counter (using two 74109 Dual J-K F.F and 74LS08 AND IC) shown in Figure 5. LOLLSB) L3(M58) 74L SOBD 74LS08D 2. Put the PR on "1" and CLR on "O" to initialize the counter, then put the CLR on "1"and complete the following table. Clock # L3 L2 L1 LO Decimal Value (L3 L2 L1 LO) lorbluffen 14 15 16 17 3. Compare the outputs in this table with the outputs in Part...

  • Lab Exercise 2 (20 ma rks) Title: Asynchronous Counters (using Dual JK Negative-Edge-Triggered fl...

    Lab Exercise 2 (20 ma rks) Title: Asynchronous Counters (using Dual JK Negative-Edge-Triggered flip-flops) Objective: To understand usage and theory of the Asynchronous Counters built using the JK-FF Component: 74LS73 Dual JK Negative-Edge-Triggered Flip-flops LED (2 Units) 330 2 resistor (2 units) DC Power supply Oscilloscope with 2 probes with build-in function generator or Oscilloscope with 2 probes with separate unit Enter Shift Function Generator + Paup Other Equipment: Jumper wires, NI Elvis Tester Board (optional) End Procedure: Construct the...

  • Design C-1 (modulo-10 up-counter): Using the behavioral VHDL coding, create an up-counter to count upward. The...

    Design C-1 (modulo-10 up-counter): Using the behavioral VHDL coding, create an up-counter to count upward. The up counter has the following control inputs En.reset, CLK. The counting outputs are Q0, O1, Q2. and O3 reset clears the outputs of the counter to 0. En enables the counting when En-1. When En-0, the counter stops. The counter sequentially counts all the possible numbers and loops again, from 0 to 9, back to 0 and 9, etc Design C-2: Ten-second Counter with...

  • Use a behavioral Verilog model to design a 3-bit fault tolerant up-down counter. For each flip-fl...

    Use a behavioral Verilog model to design a 3-bit fault tolerant up-down counter. For each flip-flop (FF) include asynchronous reset and preset signals. Refer to Example 4.3 on page 160 for an example of a single FF with both reset and preset signals as well as with an enable signal. For this project, you don't need to use FFs with enables. You don't also need not-q (nq) in this assignment. Use active-high signals for reset and present signals. The example...

ADVERTISEMENT
Free Homework Help App
Download From Google Play
Scan Your Homework
to Get Instant Free Answers
Need Online Homework Help?
Ask a Question
Get Answers For Free
Most questions answered within 3 hours.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT