Question

1) Using Verilog behavioral modeling, describe an n-bit circuit that has four n-bit unsigned inputs A B, C, and D, and two co

0 0
Add a comment Improve this question Transcribed image text
Answer #1
  • Verilog Behavioral Modelling:-
    • It contains procedural statements which manipulates variables of data types and control the simulation.
    • Procedures will contain all these statements.
    • Each procedure has an activity flow associated with it.
  • Y<-2*A
    • It has two Controls C1 and C2 as 0.
    • It produces the 2*A as n+1 bit output when both controls are 0.
  • Y<-MAX(A,B,C,D)
    • It has two Controls C1 and C2 .
    • It produces the Maximum of A,B,C,D as output when C2 is of 1.
  • Y<-MIN(A,B,C,D)
    • It has two Controls C1 and C2.
    • It produces the Minimum of A,B,C,D as output when C1 is of 1.
  • Y<-AVERAGE(A,B,C,D)
    • It has two Controls C1 and C2 as both are 1.
    • It produces the Average of A,B,C,D as n+1 bit output when both C1 & C2 is of 1.
Add a comment
Know the answer?
Add Answer to:
1) Using Verilog behavioral modeling, describe an n-bit circuit that has four n-bit unsigned inpu...
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for? Ask your own homework help question. Our experts will answer your question WITHIN MINUTES for Free.
Similar Homework Help Questions
  • 1) Using Verilog behavioral modeling, describe an n-bit circuit that has four n-bit unsigned inpu...

    1) Using Verilog behavioral modeling, describe an n-bit circuit that has four n-bit unsigned inputs A B, C, and D, and two control inputs C1, and CO. The circuit produces an n+1-bit output Y according to the following table: (No delavs) 120 marks CI CO Function 1 Y MAX (A,B,C,D) , i.e. Y equals the maximum of the four inputs 0 | Y 〈 MIN (A,B,C,D), i.e. Y, equals the minimum of the four inputs 1 YAVERAGE (A,B.C,D), i.e. Y...

  • bas Q3) Write a Verilog description of a 4-bit counter with asynchronous reset that has two...

    bas Q3) Write a Verilog description of a 4-bit counter with asynchronous reset that has two control inputs Munctionaloyg C1 and CO with the following functionality: 10 marks] CI CO Action 0 0No Change 0 1 Count up by 1 10 Count up by 2 1 1 Count up by 3

  • Building and testing basic combinational circuits using Verilog HDL Description: Build and test the following circuits using gate-level modeling in Verilog HDL 1.3-input majority function 2.Condition...

    Building and testing basic combinational circuits using Verilog HDL Description: Build and test the following circuits using gate-level modeling in Verilog HDL 1.3-input majority function 2.Conditional inverter (see the table below: x - control input, y -data input). Do NOT use XOR gates for the implementation. Output 3. Two-input multiplexer (see the table below: x.y -data inputs, z- control input) Output 4. 1-bit half adder. 5. 1-bit full adder by cascading two half adders 6.1-bit full adder directly (as in...

  • [Paperl (10 pts.) Design a circuit that takes in four 4-bit unsigned numbers, A (A3..Ao), B (B3.....

    [Paperl (10 pts.) Design a circuit that takes in four 4-bit unsigned numbers, A (A3..Ao), B (B3..Bo), C (C3-C), and D (D3..Do) and produces the 6-bit unsigned sum of those numbers. You should use three 4-bit adder blocks (74LS283's), and a minimal number of full adders or half adder build blocks. You should organize your adder circuits to perform as many additions in parallel (at the same time) as possible. Getting started: Write out the columns of addition and see...

  • Building and testing basic combinational circuits using Verilog HDL Description: Build and test t...

    Building and testing basic combinational circuits using Verilog HDL Description: Build and test the following circuits using gate-level modeling in Verilog HDL. 1. 3-input majority function. 2. Conditional inverter (see the table below: x - control input, y - data input). Do NOT use XOR gates for the implementation.    x y Output 0   y 1   y' 3. Two-input multiplexer (see the table below: x,y - data inputs, z - control input).     z Output 0 x 1 y 4. 1-bit half...

  • Problem 2. Ripple Carry and Carry Look-ahead Adders For the binary adding circuit that adds n-bit...

    Problem 2. Ripple Carry and Carry Look-ahead Adders For the binary adding circuit that adds n-bit inputs x and y, the following equation gives ci+1 (the carry out bit from the i" position) in terms of the inputs for the ih bit sum x, yi, and ci (the carry-in bit): Letting gi xiyi and pi = xi+yi, this can be expressed as: ci+1 = gi+piCi a) In a ripple carry adder structure, the carry bits are computed sequentially. That is,...

  • (Packing Characters into an Integer) The left-shift operator can be used to pack four character values into a four-byt...

    (Packing Characters into an Integer) The left-shift operator can be used to pack four character values into a four-byte unsigned int variable. Write a program that inputs four characters from the keyboard and passes them to function packCharacters. To pack four characters into an unsigned int variable, assign the first character to the unsigned intvariable, shift the unsigned int variable left by 8 bit positions and combine the unsigned variable with the second character using the bitwise inclusive OR operator....

  • A specific type of bit-level manipulation consists in setting or clearing one single bit in a...

    A specific type of bit-level manipulation consists in setting or clearing one single bit in a multi-bit value, given its index and its new value. This operation can be implemented in hardware by a BitSet circuit with the following interface: Input x is a 4-bit value representing the original value. Output y is a 4-bit value representing the modified value, after the bit-set operation. Input index is a 2-bit value, ranging from 0 to 3, indicating the index of the...

  • 3) (30 points) Given three 8-bit unsigned inputs A, B, C, design a circuit that outputs...

    3) (30 points) Given three 8-bit unsigned inputs A, B, C, design a circuit that outputs 1 if the smallest absolute distance between any pair of values is less than 15 or greater than 50. Note: your circuit must work for any 8-bit unsigned numbers given in A, B, C. 18 18 18 - Assume that you have the following Datapath components available (unsigned only) - decoders, encoders, muxes, parallel load registers, adders, A B C subtractors, magnitude comparators, array...

  • 5. (1 pt) Use Verilog port mapping to create a small accumulator-based processor using your 8-bit...

    5. (1 pt) Use Verilog port mapping to create a small accumulator-based processor using your 8-bit register (from problem 4) and your ALU (from problem 1). Connect your register and ALU as follows: a) Connect the output of your ALU to the “D” input of your register b) Connect the "Q" output of your register to the “A” input of your ALU c) The unused/unconnected ports will be overall inputs or outputs to this system. Connect these to the overall...

ADVERTISEMENT
Free Homework Help App
Download From Google Play
Scan Your Homework
to Get Instant Free Answers
Need Online Homework Help?
Ask a Question
Get Answers For Free
Most questions answered within 3 hours.
ADVERTISEMENT
ADVERTISEMENT
ADVERTISEMENT